SURF  1.0
Pgp2bGtp7MultiLane.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : Pgp2bGtp7MultiLane.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2013-06-29
5 -- Last update: 2016-08-24
6 -------------------------------------------------------------------------------
7 -- Description: Gtp7 Variable Latency, multi-lane Module
8 -------------------------------------------------------------------------------
9 -- This file is part of 'SLAC Firmware Standard Library'.
10 -- It is subject to the license terms in the LICENSE.txt file found in the
11 -- top-level directory of this distribution and at:
12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
13 -- No part of 'SLAC Firmware Standard Library', including this file,
14 -- may be copied, modified, propagated, or distributed except according to
15 -- the terms contained in the LICENSE.txt file.
16 -------------------------------------------------------------------------------
17 
18 library ieee;
19 use ieee.std_logic_1164.all;
20 use ieee.numeric_std.all;
21 
22 use work.StdRtlPkg.all;
23 use work.Pgp2bPkg.all;
24 use work.AxiStreamPkg.all;
25 use work.AxiLitePkg.all;
26 
27 library UNISIM;
28 use UNISIM.VCOMPONENTS.all;
29 
30 --! @see entity
31  --! @ingroup protocols_pgp_pgp2b_gtp7
33  generic (
34  TPD_G : time := 1 ns;
35  ----------------------------------------------------------------------------------------------
36  -- GT Settings
37  ----------------------------------------------------------------------------------------------
38  -- Sim Generics
39  SIM_GTRESET_SPEEDUP_G : string := "FALSE";
40  SIM_VERSION_G : string := "1.0";
41  STABLE_CLOCK_PERIOD_G : real := 4.0E-9; --units of seconds
42  -- Configure PLL
43  RXOUT_DIV_G : integer := 2;
44  TXOUT_DIV_G : integer := 2;
45  RX_CLK25_DIV_G : integer := 7; -- Set by wizard
46  TX_CLK25_DIV_G : integer := 7; -- Set by wizard
47  PMA_RSV_G : bit_vector := x"00000333"; -- Set by wizard
48  RX_OS_CFG_G : bit_vector := "0001111110000"; -- Set by wizard
49  RXCDR_CFG_G : bit_vector := x"0000107FE206001041010"; -- Set by wizard
50  RXLPM_INCM_CFG_G : bit := '1'; -- Set by wizard
51  RXLPM_IPCM_CFG_G : bit := '0'; -- Set by wizard
52  TX_PLL_G : string := "PLL0";
53  RX_PLL_G : string := "PLL1";
54  -- Configure Buffer usage
55  TX_BUF_EN_G : boolean := true;
56  TX_OUTCLK_SRC_G : string := "OUTCLKPMA";
57  TX_DLY_BYPASS_G : sl := '1';
58  TX_PHASE_ALIGN_G : string := "NONE";
59  TX_BUF_ADDR_MODE_G : string := "FULL";
60  -- Configure Number of Lanes
61  LANE_CNT_G : integer range 1 to 2 := 1;
62  ----------------------------------------------------------------------------------------------
63  -- PGP Settings
64  ----------------------------------------------------------------------------------------------
65  VC_INTERLEAVE_G : integer := 0; -- No interleave Frames
66  PAYLOAD_CNT_TOP_G : integer := 7; -- Top bit for payload counter
67  NUM_VC_EN_G : integer range 1 to 4 := 4;
69  TX_ENABLE_G : boolean := true; -- Enable TX direction
70  RX_ENABLE_G : boolean := true); -- Enable RX direction
71  port (
72  -- GT Clocking
73  stableClk : in sl; -- GT needs a stable clock to "boot up"
74  gtQPllOutRefClk : in slv(1 downto 0);
75  gtQPllOutClk : in slv(1 downto 0);
76  gtQPllLock : in slv(1 downto 0);
77  gtQPllRefClkLost : in slv(1 downto 0);
78  gtQPllReset : out slv(1 downto 0);
79  -- Gt Serial IO
80  gtTxP : out slv((LANE_CNT_G-1) downto 0); -- GT Serial Transmit Positive
81  gtTxN : out slv((LANE_CNT_G-1) downto 0); -- GT Serial Transmit Negative
82  gtRxP : in slv((LANE_CNT_G-1) downto 0); -- GT Serial Receive Positive
83  gtRxN : in slv((LANE_CNT_G-1) downto 0); -- GT Serial Receive Negative
84  -- Tx Clocking
85  pgpTxReset : in sl;
86  pgpTxRecClk : out sl; -- recovered clock
87  pgpTxClk : in sl;
90  -- Rx clocking
91  pgpRxReset : in sl;
92  pgpRxRecClk : out sl; -- recovered clock
93  pgpRxClk : in sl;
96  -- Non VC Rx Signals
99  -- Non VC Tx Signals
102  -- Frame Transmit Interface - 1 Lane, Array of 4 VCs
104  pgpTxSlaves : out AxiStreamSlaveArray(3 downto 0);
105  -- Frame Receive Interface - 1 Lane, Array of 4 VCs
108  pgpRxCtrl : in AxiStreamCtrlArray(3 downto 0);
109 
110  -- Debug Interface
111  txPreCursor : in slv(4 downto 0) := (others => '0');
112  txPostCursor : in slv(4 downto 0) := (others => '0');
113  txDiffCtrl : in slv(3 downto 0) := "1000";
114  -- AXI-Lite Interface
115  axilClk : in sl := '0';
116  axilRst : in sl := '0';
121 
122 end Pgp2bGtp7MultiLane;
123 
124 -- Define architecture
125 architecture rtl of Pgp2bGtp7MultiLane is
126  --------------------------------------------------------------------------------------------------
127  -- Types
128  --------------------------------------------------------------------------------------------------
129  type QPllResetsVector is array (integer range<>) of slv(1 downto 0);
130 
131  --------------------------------------------------------------------------------------------------
132  -- Constants
133  --------------------------------------------------------------------------------------------------
134  signal gtQPllResets : QPllResetsVector((LANE_CNT_G-1) downto 0);
135 
136  -- PgpRx Signals
137  signal pgpRxMmcmResets : slv((LANE_CNT_G-1) downto 0);
138  signal pgpRxRecClock : slv((LANE_CNT_G-1) downto 0);
139  signal gtRxResetDone : slv((LANE_CNT_G-1) downto 0);
140  signal gtRxUserReset : sl;
141  signal gtRxUserResetIn : sl;
142  signal phyRxLanesIn : Pgp2bRxPhyLaneInArray((LANE_CNT_G-1) downto 0);
143  signal phyRxLanesOut : Pgp2bRxPhyLaneOutArray((LANE_CNT_G-1) downto 0);
144  signal phyRxReady : sl;
145  signal phyRxInit : sl;
146 
147  -- Rx Channel Bonding
148  signal rxChBondLevel : slv(2 downto 0);
149  signal rxChBondIn : Slv4Array(LANE_CNT_G-1 downto 0);
150  signal rxChBondOut : Slv4Array(LANE_CNT_G-1 downto 0);
151 
152  -- PgpTx Signals
153  signal pgpTxMmcmResets : slv((LANE_CNT_G-1) downto 0);
154  signal pgpTxRecClock : slv((LANE_CNT_G-1) downto 0);
155  signal gtTxResetDone : slv((LANE_CNT_G-1) downto 0);
156  signal gtTxUserResetIn : sl;
157  signal phyTxLanesOut : Pgp2bTxPhyLaneOutArray((LANE_CNT_G-1) downto 0);
158  signal phyTxReady : sl;
159 
160  signal stableRst : sl;
161  signal drpGnt : slv(LANE_CNT_G-1 downto 0);
162  signal drpRdy : slv(LANE_CNT_G-1 downto 0);
163  signal drpEn : slv(LANE_CNT_G-1 downto 0);
164  signal drpWe : slv(LANE_CNT_G-1 downto 0);
165  signal drpAddr : Slv9Array(LANE_CNT_G-1 downto 0);
166  signal drpDi : Slv16Array(LANE_CNT_G-1 downto 0);
167  signal drpDo : Slv16Array(LANE_CNT_G-1 downto 0);
168 
169 begin
170 
171  gtQPllReset <= gtQPllResets(0);
172  pgpTxMmcmReset <= pgpTxMmcmResets(0);
173  pgpRxMmcmReset <= pgpRxMmcmResets(0);
174  pgpRxRecClk <= pgpRxRecClock(0);
175  pgpTxRecClk <= pgpTxRecClock(0);
176 
177  phyTxReady <= uAnd(gtTxResetDone);
178  phyRxReady <= uAnd(gtRxResetDone);
179 
180  gtRxUserResetIn <= gtRxUserReset or pgpRxReset;
181  gtTxUserResetIn <= pgpTxReset;
182 
183  U_Pgp2bLane : entity work.Pgp2bLane
184  generic map (
185  TPD_G => TPD_G,
186  LANE_CNT_G => 1,
192  port map (
193  pgpTxClk => pgpTxClk,
195  pgpTxIn => pgpTxIn,
196  pgpTxOut => pgpTxOut,
199  phyTxLanesOut => phyTxLanesOut,
201  pgpRxClk => pgpRxClk,
203  pgpRxIn => pgpRxIn,
204  pgpRxOut => pgpRxOut,
207  pgpRxCtrl => pgpRxCtrl,
208  phyRxLanesOut => phyRxLanesOut,
209  phyRxLanesIn => phyRxLanesIn,
211  phyRxInit => gtRxUserReset
212  );
213 
214  --------------------------------------------------------------------------------------------------
215  -- Generate the GTP channels
216  --------------------------------------------------------------------------------------------------
217  GTP7_CORE_GEN : for i in (LANE_CNT_G-1) downto 0 generate
218  -- Channel Bonding
219 -- gtp(i).rxChBondLevel <= conv_std_logic_vector((LANE_CNT_G-1-i), 3);
220  Bond_Master : if (i = 0) generate
221  rxChBondIn(i) <= "0000";
222  end generate Bond_Master;
223  Bond_Slaves : if (i /= 0) generate
224  rxChBondIn(i) <= rxChBondOut(i-1);
225  end generate Bond_Slaves;
226 
227  Gtp7Core_Inst : entity work.Gtp7Core
228  generic map (
229  TPD_G => TPD_G,
237  PMA_RSV_G => PMA_RSV_G,
242  TX_PLL_G => TX_PLL_G,
243  RX_PLL_G => RX_PLL_G,
244  TX_EXT_DATA_WIDTH_G => 16,
245  TX_INT_DATA_WIDTH_G => 20,
246  TX_8B10B_EN_G => true,
247  RX_EXT_DATA_WIDTH_G => 16,
248  RX_INT_DATA_WIDTH_G => 20,
249  RX_8B10B_EN_G => true,
255  RX_BUF_EN_G => true,
256  RX_OUTCLK_SRC_G => "OUTCLKPMA",
257  RX_USRCLK_SRC_G => "RXOUTCLK", -- Not 100% sure, doesn't really matter
258  RX_DLY_BYPASS_G => '1',
259  RX_DDIEN_G => '0',
260  RX_BUF_ADDR_MODE_G => "FULL",
261  RX_ALIGN_MODE_G => "GT", -- Default
262  ALIGN_COMMA_DOUBLE_G => "FALSE", -- Default
263  ALIGN_COMMA_ENABLE_G => "1111111111", -- Default
264  ALIGN_COMMA_WORD_G => 2, -- Default
265  ALIGN_MCOMMA_DET_G => "TRUE",
266  ALIGN_MCOMMA_VALUE_G => "1010000011", -- Default
267  ALIGN_MCOMMA_EN_G => '1',
268  ALIGN_PCOMMA_DET_G => "TRUE",
269  ALIGN_PCOMMA_VALUE_G => "0101111100", -- Default
270  ALIGN_PCOMMA_EN_G => '1',
271  SHOW_REALIGN_COMMA_G => "FALSE",
272  RXSLIDE_MODE_G => "AUTO",
273  RX_DISPERR_SEQ_MATCH_G => "TRUE", -- Default
274  DEC_MCOMMA_DETECT_G => "TRUE", -- Default
275  DEC_PCOMMA_DETECT_G => "TRUE", -- Default
276  DEC_VALID_COMMA_ONLY_G => "FALSE", -- Default
277  CBCC_DATA_SOURCE_SEL_G => "DECODED", -- Default
278  CLK_COR_SEQ_2_USE_G => "FALSE", -- Default
279  CLK_COR_KEEP_IDLE_G => "FALSE", -- Default
280  CLK_COR_MAX_LAT_G => 21,
281  CLK_COR_MIN_LAT_G => 18,
282  CLK_COR_PRECEDENCE_G => "TRUE", -- Default
283  CLK_COR_REPEAT_WAIT_G => 0, -- Default
284  CLK_COR_SEQ_LEN_G => 4,
285  CLK_COR_SEQ_1_ENABLE_G => "1111", -- Default
286  CLK_COR_SEQ_1_1_G => "0110111100",
287  CLK_COR_SEQ_1_2_G => "0100011100",
288  CLK_COR_SEQ_1_3_G => "0100011100",
289  CLK_COR_SEQ_1_4_G => "0100011100",
290  CLK_CORRECT_USE_G => "TRUE",
291  CLK_COR_SEQ_2_ENABLE_G => "0000", -- Default
292  CLK_COR_SEQ_2_1_G => "0000000000", -- Default
293  CLK_COR_SEQ_2_2_G => "0000000000", -- Default
294  CLK_COR_SEQ_2_3_G => "0000000000", -- Default
295  CLK_COR_SEQ_2_4_G => "0000000000", -- Default
296  RX_CHAN_BOND_EN_G => true,
297  RX_CHAN_BOND_MASTER_G => (i = 0),
298  CHAN_BOND_KEEP_ALIGN_G => "FALSE", -- Default
299  CHAN_BOND_MAX_SKEW_G => 10,
300  CHAN_BOND_SEQ_LEN_G => 1, -- Default
301  CHAN_BOND_SEQ_1_1_G => "0110111100",
302  CHAN_BOND_SEQ_1_2_G => "0111011100",
303  CHAN_BOND_SEQ_1_3_G => "0111011100",
304  CHAN_BOND_SEQ_1_4_G => "0111011100",
305  CHAN_BOND_SEQ_1_ENABLE_G => "1111", -- Default
306  CHAN_BOND_SEQ_2_1_G => "0000000000", -- Default
307  CHAN_BOND_SEQ_2_2_G => "0000000000", -- Default
308  CHAN_BOND_SEQ_2_3_G => "0000000000", -- Default
309  CHAN_BOND_SEQ_2_4_G => "0000000000", -- Default
310  CHAN_BOND_SEQ_2_ENABLE_G => "0000", -- Default
311  CHAN_BOND_SEQ_2_USE_G => "FALSE", -- Default
312  FTS_DESKEW_SEQ_ENABLE_G => "1111", -- Default
313  FTS_LANE_DESKEW_CFG_G => "1111", -- Default
314  FTS_LANE_DESKEW_EN_G => "FALSE") -- Default
315  port map (
321  qPllResetOut => gtQPllResets(i),
322  gtTxP => gtTxP(i),
323  gtTxN => gtTxN(i),
324  gtRxP => gtRxP(i),
325  gtRxN => gtRxN(i),
326  rxOutClkOut => pgpRxRecClock(i),
327  rxUsrClkIn => pgpRxClk,
329  rxUserRdyOut => open,
330  rxMmcmResetOut => pgpRxMmcmResets(i),
332  rxUserResetIn => gtRxUserResetIn,
333  rxResetDoneOut => gtRxResetDone(i),
334  rxDataValidIn => '1',
335  rxSlideIn => '0',
336  rxDataOut => phyRxLanesIn(i).data,
337  rxCharIsKOut => phyRxLanesIn(i).dataK,
338  rxDecErrOut => phyRxLanesIn(i).decErr,
339  rxDispErrOut => phyRxLanesIn(i).dispErr,
340  rxPolarityIn => phyRxLanesOut(i).polarity,
341  rxBufStatusOut => open,
342  rxChBondLevelIn => slv(to_unsigned((LANE_CNT_G-1-i), 3)),
343  rxChBondIn => rxChBondIn(i),
344  rxChBondOut => rxChBondOut(i),
345  txOutClkOut => pgpTxRecClock(i),
346  txUsrClkIn => pgpTxClk,
348  txUserRdyOut => open,
349  txMmcmResetOut => pgpTxMmcmResets(i),
351  txUserResetIn => gtTxUserResetIn,
352  txResetDoneOut => gtTxResetDone(i),
353  txDataIn => phyTxLanesOut(i).data,
354  txCharIsKIn => phyTxLanesOut(i).dataK,
355  txBufStatusOut => open,
356  loopbackIn => pgpRxIn.loopback,
360  drpGnt => drpGnt(i),
361  drpRdy => drpRdy(i),
362  drpEn => drpEn(i),
363  drpWe => drpWe(i),
364  drpAddr => drpAddr(i),
365  drpDi => drpDi(i),
366  drpDo => drpDo(i));
367 
368  U_AxiLiteToDrp : entity work.AxiLiteToDrp
369  generic map (
370  TPD_G => TPD_G,
372  COMMON_CLK_G => false,
373  EN_ARBITRATION_G => true,
374  TIMEOUT_G => 4096,
375  ADDR_WIDTH_G => 9,
376  DATA_WIDTH_G => 16)
377  port map (
378  -- AXI-Lite Port
379  axilClk => axilClk,
380  axilRst => axilRst,
385  -- DRP Interface
386  drpClk => stableClk,
387  drpRst => stableRst,
388  drpGnt => drpGnt(i),
389  drpRdy => drpRdy(i),
390  drpEn => drpEn(i),
391  drpWe => drpWe(i),
392  drpAddr => drpAddr(i),
393  drpDi => drpDi(i),
394  drpDo => drpDo(i));
395 
396  end generate GTP7_CORE_GEN;
397 
398  U_RstSync : entity work.RstSync
399  generic map (
400  TPD_G => TPD_G)
401  port map (
402  clk => stableClk,
403  asyncRst => axilRst,
404  syncRst => stableRst);
405 
406 end rtl;
CHAN_BOND_SEQ_2_1_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:139
in rxUsrClkInsl
Definition: Gtp7Core.vhd:167
ALIGN_MCOMMA_DET_Gstring := "FALSE"
Definition: Gtp7Core.vhd:85
TPD_Gtime := 1 ns
Definition: Gtp7Core.vhd:31
DEC_PCOMMA_DETECT_Gstring := "TRUE"
Definition: Gtp7Core.vhd:104
in txUserResetInsl
Definition: Gtp7Core.vhd:203
SIM_VERSION_Gstring := "1.0"
Definition: Gtp7Core.vhd:35
in pgpRxClkRstsl := '0'
Definition: Pgp2bLane.vhd:71
ADDR_WIDTH_Gpositive range 1 to 32:= 16
NUM_VC_EN_Ginteger range 1 to 4:= 4
in gtRxNslv(( LANE_CNT_G- 1) downto 0)
array(natural range <> ) of AxiStreamSlaveType AxiStreamSlaveArray
slv( 1 downto 0) dispErr
Definition: Pgp2bPkg.vhd:170
TX_EXT_DATA_WIDTH_Ginteger := 16
Definition: Gtp7Core.vhd:58
CLK_COR_MIN_LAT_Ginteger := 7
Definition: Gtp7Core.vhd:112
TX_ENABLE_Gboolean := true
Definition: Pgp2bLane.vhd:38
CHAN_BOND_SEQ_1_3_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:136
RX_EXT_DATA_WIDTH_Ginteger := 16
Definition: Gtp7Core.vhd:62
TX_INT_DATA_WIDTH_Ginteger := 20
Definition: Gtp7Core.vhd:59
out pgpRxMastersAxiStreamMasterArray( 3 downto 0)
RX_DLY_BYPASS_Gsl := '1'
Definition: Gtp7Core.vhd:76
in txPreCursorslv( 4 downto 0) :=( others => '0')
TX_BUF_ADDR_MODE_Gstring := "FAST"
Definition: Gtp7Core.vhd:71
in qPllLockInslv( 1 downto 0)
Definition: Gtp7Core.vhd:153
sl phyRxReady
Definition: Pgp2bPkg.vhd:70
RX_OS_CFG_Gbit_vector := "0001111110000"
Definition: Gtp7Core.vhd:48
out syncRstsl
Definition: RstSync.vhd:36
FTS_LANE_DESKEW_EN_Gstring := "FALSE"
Definition: Gtp7Core.vhd:147
RXCDR_CFG_Gbit_vector := x"0000107FE206001041010"
sl phyTxReady
Definition: Pgp2bPkg.vhd:138
array(natural range <> ) of AxiLiteWriteSlaveType AxiLiteWriteSlaveArray
Definition: AxiLitePkg.vhd:164
RXOUT_DIV_Ginteger := 2
Definition: Gtp7Core.vhd:43
out drpAddrslv( ADDR_WIDTH_G- 1 downto 0)
in qPllRefClkLostInslv( 1 downto 0)
Definition: Gtp7Core.vhd:154
TX_ENABLE_Gboolean := true
PMA_RSV_Gbit_vector := x"00000333"
ALIGN_COMMA_WORD_Ginteger := 2
Definition: Gtp7Core.vhd:84
out drpDoslv( 15 downto 0)
Definition: Gtp7Core.vhd:225
RX_BUF_ADDR_MODE_Gstring := "FAST"
Definition: Gtp7Core.vhd:78
CLK_CORRECT_USE_Gstring := "FALSE"
Definition: Gtp7Core.vhd:121
CHAN_BOND_SEQ_2_ENABLE_Gbit_vector := "0000"
Definition: Gtp7Core.vhd:143
out pgpTxSlavesAxiStreamSlaveArray( 3 downto 0)
Definition: Pgp2bLane.vhd:58
in drpGntsl := '1'
std_logic sl
Definition: StdRtlPkg.vhd:28
ALIGN_COMMA_ENABLE_Gbit_vector := "1111111111"
Definition: Gtp7Core.vhd:83
in pgpTxMastersAxiStreamMasterArray( 3 downto 0) :=( others => AXI_STREAM_MASTER_INIT_C)
EN_ARBITRATION_Gboolean := false
STABLE_CLOCK_PERIOD_Greal := 4.0E-9
RX_DDIEN_Gsl := '0'
Definition: Gtp7Core.vhd:77
DATA_WIDTH_Gpositive range 1 to 32:= 16
AxiStreamMasterType :=(tValid => '0',tData =>( others => '0'),tStrb =>( others => '1'),tKeep =>( others => '1'),tLast => '0',tDest =>( others => '0'),tId =>( others => '0'),tUser =>( others => '0')) AXI_STREAM_MASTER_INIT_C
out axilReadSlaveAxiLiteReadSlaveType
in stableClkInsl
Definition: Gtp7Core.vhd:149
in drpDislv( 15 downto 0) := X"0000"
Definition: Gtp7Core.vhd:224
out rxCharIsKOutslv(( RX_EXT_DATA_WIDTH_G/ 8)- 1 downto 0)
Definition: Gtp7Core.vhd:183
RX_PLL_Gstring := "PLL1"
Definition: Gtp7Core.vhd:55
in rxChBondInslv( 3 downto 0) := "0000"
Definition: Gtp7Core.vhd:191
in drpDoslv( DATA_WIDTH_G- 1 downto 0)
COMMON_CLK_Gboolean := false
in txPostCursorslv( 4 downto 0) :=( others => '0')
CLK_COR_SEQ_1_2_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:118
array(natural range <> ) of AxiLiteReadMasterType AxiLiteReadMasterArray
Definition: AxiLitePkg.vhd:77
TX_PHASE_ALIGN_Gstring := "AUTO"
Definition: Gtp7Core.vhd:70
in pgpRxInPgp2bRxInType := PGP2B_RX_IN_INIT_C
Definition: Pgp2bLane.vhd:74
slv( 15 downto 0) data
Definition: Pgp2bPkg.vhd:168
in txDiffCtrlslv( 3 downto 0) := "1000"
in txCharIsKInslv(( TX_EXT_DATA_WIDTH_G/ 8)- 1 downto 0)
Definition: Gtp7Core.vhd:208
out txUserRdyOutsl
Definition: Gtp7Core.vhd:198
out txBufStatusOutslv( 1 downto 0)
Definition: Gtp7Core.vhd:209
FTS_DESKEW_SEQ_ENABLE_Gbit_vector := "1111"
Definition: Gtp7Core.vhd:145
TX_CLK25_DIV_Ginteger := 5
Definition: Gtp7Core.vhd:46
out drpRdysl
Definition: Gtp7Core.vhd:220
VC_INTERLEAVE_Ginteger := 1
Definition: Pgp2bLane.vhd:35
out phyRxInitsl
Definition: Pgp2bLane.vhd:89
in rxUserResetInsl
Definition: Gtp7Core.vhd:174
out gtTxNsl
Definition: Gtp7Core.vhd:161
CHAN_BOND_SEQ_1_1_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:134
RX_OS_CFG_Gbit_vector := "0001111110000"
out qPllResetOutslv( 1 downto 0)
Definition: Gtp7Core.vhd:155
out drpGntsl
Definition: Gtp7Core.vhd:219
CLK_COR_SEQ_2_4_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:126
ALIGN_MCOMMA_EN_Gsl := '0'
Definition: Gtp7Core.vhd:87
RX_ENABLE_Gboolean := true
Definition: Pgp2bLane.vhd:40
SIM_GTRESET_SPEEDUP_Gstring := "FALSE"
in axilReadMastersAxiLiteReadMasterArray(( LANE_CNT_G- 1) downto 0) :=( others => AXI_LITE_READ_MASTER_INIT_C)
in asyncRstsl
Definition: RstSync.vhd:35
TX_BUF_EN_Gboolean := true
in txMmcmLockedInsl := '1'
Definition: Gtp7Core.vhd:200
CLK_COR_SEQ_LEN_Ginteger := 1
Definition: Gtp7Core.vhd:115
CHAN_BOND_SEQ_2_3_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:141
in txPostCursorslv( 4 downto 0) :=( others => '0')
Definition: Gtp7Core.vhd:216
array(natural range <> ) of Pgp2bTxPhyLaneOutType Pgp2bTxPhyLaneOutArray
Definition: Pgp2bPkg.vhd:192
in clksl
Definition: RstSync.vhd:34
out pgpRxOutPgp2bRxOutType
Definition: Pgp2bLane.vhd:75
CBCC_DATA_SOURCE_SEL_Gstring := "DECODED"
Definition: Gtp7Core.vhd:108
out axilWriteSlaveAxiLiteWriteSlaveType
out rxBufStatusOutslv( 2 downto 0)
Definition: Gtp7Core.vhd:187
TPD_Gtime := 1 ns
Definition: Pgp2bLane.vhd:33
RX_CHAN_BOND_EN_Gboolean := false
Definition: Gtp7Core.vhd:129
in pgpTxMastersAxiStreamMasterArray( 3 downto 0) :=( others => AXI_STREAM_MASTER_INIT_C)
Definition: Pgp2bLane.vhd:57
SIM_GTRESET_SPEEDUP_Gstring := "FALSE"
Definition: Gtp7Core.vhd:34
TX_PHASE_ALIGN_Gstring := "NONE"
LANE_CNT_Ginteger range 1 to 2:= 1
in rxSlideInsl := '0'
Definition: Gtp7Core.vhd:179
DEC_VALID_COMMA_ONLY_Gstring := "FALSE"
Definition: Gtp7Core.vhd:105
in phyRxReadysl := '0'
Definition: Pgp2bLane.vhd:87
RX_DISPERR_SEQ_MATCH_Gstring := "TRUE"
Definition: Gtp7Core.vhd:102
in rxDataValidInsl := '1'
Definition: Gtp7Core.vhd:178
NUM_VC_EN_Ginteger range 1 to 4:= 4
Definition: Pgp2bLane.vhd:37
RX_ENABLE_Gboolean := true
in pgpRxInPgp2bRxInType
ALIGN_COMMA_DOUBLE_Gstring := "FALSE"
Definition: Gtp7Core.vhd:82
CLK_COR_SEQ_2_USE_Gstring := "FALSE"
Definition: Gtp7Core.vhd:109
Pgp2bRxInType
Definition: Pgp2bPkg.vhd:55
CHAN_BOND_SEQ_LEN_Ginteger := 1
Definition: Gtp7Core.vhd:133
slv( 1 downto 0) := "11" AXI_RESP_DECERR_C
Definition: AxiLitePkg.vhd:49
CLK_COR_SEQ_2_1_Gbit_vector := "0100000000"
Definition: Gtp7Core.vhd:123
slv( 1 downto 0) dataK
Definition: Pgp2bPkg.vhd:169
PAYLOAD_CNT_TOP_Ginteger := 7
Definition: Pgp2bLane.vhd:36
out rxDataOutslv( RX_EXT_DATA_WIDTH_G- 1 downto 0)
Definition: Gtp7Core.vhd:182
out gtQPllResetslv( 1 downto 0)
TX_BUF_EN_Gboolean := true
Definition: Gtp7Core.vhd:67
in axilReadMasterAxiLiteReadMasterType
TX_PLL_Gstring := "PLL0"
Definition: Gtp7Core.vhd:54
in txDataInslv( TX_EXT_DATA_WIDTH_G- 1 downto 0)
Definition: Gtp7Core.vhd:207
out rxUserRdyOutsl
Definition: Gtp7Core.vhd:169
sl polarity
Definition: Pgp2bPkg.vhd:160
RXCDR_CFG_Gbit_vector := x"0000107FE206001041010"
Definition: Gtp7Core.vhd:49
in rxMmcmLockedInsl := '1'
Definition: Gtp7Core.vhd:171
TX_OUTCLK_SRC_Gstring := "OUTCLKPMA"
in qPllRefClkInslv( 1 downto 0)
Definition: Gtp7Core.vhd:151
out gtTxNslv(( LANE_CNT_G- 1) downto 0)
in gtQPllOutClkslv( 1 downto 0)
in axilWriteMastersAxiLiteWriteMasterArray(( LANE_CNT_G- 1) downto 0) :=( others => AXI_LITE_WRITE_MASTER_INIT_C)
out phyRxLanesOutPgp2bRxPhyLaneOutArray( 0 to LANE_CNT_G- 1)
Definition: Pgp2bLane.vhd:85
slv( 1 downto 0) decErr
Definition: Pgp2bPkg.vhd:171
in pgpTxClkRstsl := '0'
Definition: Pgp2bLane.vhd:50
ALIGN_PCOMMA_DET_Gstring := "FALSE"
Definition: Gtp7Core.vhd:88
in pgpRxCtrlAxiStreamCtrlArray( 3 downto 0) :=( others => AXI_STREAM_CTRL_UNUSED_C)
Definition: Pgp2bLane.vhd:82
RX_OUTCLK_SRC_Gstring := "PLLREFCLK"
Definition: Gtp7Core.vhd:74
CLK_COR_MAX_LAT_Ginteger := 9
Definition: Gtp7Core.vhd:111
RX_ALIGN_MODE_Gstring := "GT"
Definition: Gtp7Core.vhd:81
in txDiffCtrlslv( 3 downto 0) := "1000"
Definition: Gtp7Core.vhd:217
SIM_VERSION_Gstring := "1.0"
TPD_Gtime := 1 ns
Definition: RstSync.vhd:27
CLK_COR_SEQ_1_1_Gbit_vector := "0100000000"
Definition: Gtp7Core.vhd:117
in gtRxPsl
Definition: Gtp7Core.vhd:162
array(natural range <> ) of AxiStreamCtrlType AxiStreamCtrlArray
TIMEOUT_Gpositive := 4096
RX_CHAN_BOND_MASTER_Gboolean := false
Definition: Gtp7Core.vhd:130
in drpAddrslv( 8 downto 0) := "000000000"
Definition: Gtp7Core.vhd:223
in pgpRxClksl := '0'
Definition: Pgp2bLane.vhd:70
out axilReadSlavesAxiLiteReadSlaveArray(( LANE_CNT_G- 1) downto 0)
CLK_COR_SEQ_1_ENABLE_Gbit_vector := "1111"
Definition: Gtp7Core.vhd:116
array(natural range <> ) of Pgp2bRxPhyLaneOutType Pgp2bRxPhyLaneOutArray
Definition: Pgp2bPkg.vhd:163
RX_PLL_Gstring := "PLL1"
RXSLIDE_MODE_Gstring := "PCS"
Definition: Gtp7Core.vhd:92
in gtRxNsl
Definition: Gtp7Core.vhd:163
CLK_COR_PRECEDENCE_Gstring := "TRUE"
Definition: Gtp7Core.vhd:113
CLK_COR_SEQ_1_4_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:120
in rxChBondLevelInslv( 2 downto 0) := "000"
Definition: Gtp7Core.vhd:190
in txUsrClkInsl
Definition: Gtp7Core.vhd:196
out pgpTxOutPgp2bTxOutType
out pgpTxOutPgp2bTxOutType
Definition: Pgp2bLane.vhd:54
array(natural range <> ) of AxiStreamMasterType AxiStreamMasterArray
CHAN_BOND_SEQ_2_4_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:142
out pgpRxMasterMuxedAxiStreamMasterType
in phyRxLanesInPgp2bRxPhyLaneInArray( 0 to LANE_CNT_G- 1) :=( others => PGP2B_RX_PHY_LANE_IN_INIT_C)
Definition: Pgp2bLane.vhd:86
FTS_LANE_DESKEW_CFG_Gbit_vector := "1111"
Definition: Gtp7Core.vhd:146
out txMmcmResetOutsl
Definition: Gtp7Core.vhd:199
in qPllClkInslv( 1 downto 0)
Definition: Gtp7Core.vhd:152
out phyTxLanesOutPgp2bTxPhyLaneOutArray( 0 to LANE_CNT_G- 1)
Definition: Pgp2bLane.vhd:61
in rxPolarityInsl := '0'
Definition: Gtp7Core.vhd:186
array(natural range <> ) of slv( 15 downto 0) Slv16Array
Definition: StdRtlPkg.vhd:395
CLK_COR_KEEP_IDLE_Gstring := "FALSE"
Definition: Gtp7Core.vhd:110
in rxUsrClk2Insl
Definition: Gtp7Core.vhd:168
in pgpTxClksl := '0'
Definition: Pgp2bLane.vhd:49
LANE_CNT_Ginteger range 1 to 2:= 1
Definition: Pgp2bLane.vhd:34
ALIGN_PCOMMA_VALUE_Gbit_vector := "0101111100"
Definition: Gtp7Core.vhd:89
RXLPM_INCM_CFG_Gbit := '1'
Definition: Gtp7Core.vhd:50
AxiLiteReadMasterType :=(araddr =>( others => '0'),arprot =>( others => '0'),arvalid => '0',rready => '1') AXI_LITE_READ_MASTER_INIT_C
Definition: AxiLitePkg.vhd:69
array(natural range <> ) of slv( 8 downto 0) Slv9Array
Definition: StdRtlPkg.vhd:402
CHAN_BOND_KEEP_ALIGN_Gstring := "FALSE"
Definition: Gtp7Core.vhd:131
in gtQPllLockslv( 1 downto 0)
out rxDecErrOutslv(( RX_EXT_DATA_WIDTH_G/ 8)- 1 downto 0)
Definition: Gtp7Core.vhd:184
out rxDispErrOutslv(( RX_EXT_DATA_WIDTH_G/ 8)- 1 downto 0)
Definition: Gtp7Core.vhd:185
out txOutClkOutsl
Definition: Gtp7Core.vhd:195
CLK_COR_SEQ_2_3_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:125
out gtTxPsl
Definition: Gtp7Core.vhd:160
in gtRxPslv(( LANE_CNT_G- 1) downto 0)
array(natural range <> ) of AxiLiteWriteMasterType AxiLiteWriteMasterArray
Definition: AxiLitePkg.vhd:136
in axilWriteMasterAxiLiteWriteMasterType
in loopbackInslv( 2 downto 0) := "000"
Definition: Gtp7Core.vhd:214
in pgpTxInPgp2bTxInType := PGP2B_TX_IN_INIT_C
Definition: Pgp2bLane.vhd:53
DEC_MCOMMA_DETECT_Gstring := "TRUE"
Definition: Gtp7Core.vhd:103
CHAN_BOND_SEQ_2_2_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:140
in pgpRxCtrlAxiStreamCtrlArray( 3 downto 0)
AxiLiteWriteMasterType :=(awaddr =>( others => '0'),awprot =>( others => '0'),awvalid => '0',wdata =>( others => '0'),wstrb =>( others => '1'),wvalid => '0',bready => '1') AXI_LITE_WRITE_MASTER_INIT_C
Definition: AxiLitePkg.vhd:125
AXI_ERROR_RESP_Gslv( 1 downto 0) := AXI_RESP_DECERR_C
RX_USRCLK_SRC_Gstring := "RXOUTCLK"
Definition: Gtp7Core.vhd:75
TX_BUF_ADDR_MODE_Gstring := "FULL"
TPD_Gtime := 1 ns
CHAN_BOND_MAX_SKEW_Ginteger := 1
Definition: Gtp7Core.vhd:132
TX_PLL_Gstring := "PLL0"
TX_DLY_BYPASS_Gsl := '1'
Definition: Gtp7Core.vhd:69
out pgpRxMastersAxiStreamMasterArray( 3 downto 0)
Definition: Pgp2bLane.vhd:78
out pgpRxOutPgp2bRxOutType
ALIGN_MCOMMA_VALUE_Gbit_vector := "1010000011"
Definition: Gtp7Core.vhd:86
CLK_COR_REPEAT_WAIT_Ginteger := 0
Definition: Gtp7Core.vhd:114
TX_OUTCLK_SRC_Gstring := "PLLREFCLK"
Definition: Gtp7Core.vhd:68
in txUsrClk2Insl
Definition: Gtp7Core.vhd:197
out rxChBondOutslv( 3 downto 0)
Definition: Gtp7Core.vhd:192
PAYLOAD_CNT_TOP_Ginteger := 7
out rxMmcmResetOutsl
Definition: Gtp7Core.vhd:170
CHAN_BOND_SEQ_1_ENABLE_Gbit_vector := "1111"
Definition: Gtp7Core.vhd:138
out axilWriteSlavesAxiLiteWriteSlaveArray(( LANE_CNT_G- 1) downto 0)
in drpEnsl := '0'
Definition: Gtp7Core.vhd:221
Pgp2bTxOutType
Definition: Pgp2bPkg.vhd:135
CLK_COR_SEQ_2_ENABLE_Gbit_vector := "0000"
Definition: Gtp7Core.vhd:122
in gtQPllRefClkLostslv( 1 downto 0)
array(natural range <> ) of AxiLiteReadSlaveType AxiLiteReadSlaveArray
Definition: AxiLitePkg.vhd:103
RXLPM_IPCM_CFG_Gbit := '0'
Definition: Gtp7Core.vhd:51
CHAN_BOND_SEQ_1_4_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:137
CLK_COR_SEQ_1_3_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:119
RX_BUF_EN_Gboolean := true
Definition: Gtp7Core.vhd:73
STABLE_CLOCK_PERIOD_Greal := 4.0E-9
Definition: Gtp7Core.vhd:39
out rxResetDoneOutsl
Definition: Gtp7Core.vhd:175
in drpWesl := '0'
Definition: Gtp7Core.vhd:222
CHAN_BOND_SEQ_1_2_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:135
out rxOutClkOutsl
Definition: Gtp7Core.vhd:166
CHAN_BOND_SEQ_2_USE_Gstring := "FALSE"
Definition: Gtp7Core.vhd:144
AXI_ERROR_RESP_Gslv( 1 downto 0) := AXI_RESP_DECERR_C
PMA_RSV_Gbit_vector := x"00000333"
Definition: Gtp7Core.vhd:47
in txPreCursorslv( 4 downto 0) :=( others => '0')
Definition: Gtp7Core.vhd:215
in phyTxReadysl := '0'
Definition: Pgp2bLane.vhd:62
TX_8B10B_EN_Gboolean := true
Definition: Gtp7Core.vhd:60
RX_INT_DATA_WIDTH_Ginteger := 20
Definition: Gtp7Core.vhd:63
array(natural range <> ) of Pgp2bRxPhyLaneInType Pgp2bRxPhyLaneInArray
Definition: Pgp2bPkg.vhd:174
out gtTxPslv(( LANE_CNT_G- 1) downto 0)
in pgpTxInPgp2bTxInType
out pgpTxSlavesAxiStreamSlaveArray( 3 downto 0)
CLK_COR_SEQ_2_2_Gbit_vector := "0000000000"
Definition: Gtp7Core.vhd:124
Pgp2bRxOutType
Definition: Pgp2bPkg.vhd:69
array(natural range <> ) of slv( 3 downto 0) Slv4Array
Definition: StdRtlPkg.vhd:407
RX_CLK25_DIV_Ginteger := 5
Definition: Gtp7Core.vhd:45
TXOUT_DIV_Ginteger := 2
Definition: Gtp7Core.vhd:44
out drpDislv( DATA_WIDTH_G- 1 downto 0)
out txResetDoneOutsl
Definition: Gtp7Core.vhd:204
std_logic_vector slv
Definition: StdRtlPkg.vhd:29
SHOW_REALIGN_COMMA_Gstring := "FALSE"
Definition: Gtp7Core.vhd:91
out pgpRxMasterMuxedAxiStreamMasterType
Definition: Pgp2bLane.vhd:79
RX_8B10B_EN_Gboolean := true
Definition: Gtp7Core.vhd:64
ALIGN_PCOMMA_EN_Gsl := '0'
Definition: Gtp7Core.vhd:90
in gtQPllOutRefClkslv( 1 downto 0)