1 ------------------------------------------------------------------------------- 2 -- File : StdRtlPkg.vhd 3 -- Company : SLAC National Accelerator Laboratory 4 -- Created : 2013-05-01 5 -- Last update: 2017-05-05 6 ------------------------------------------------------------------------------- 7 -- Description: Standard RTL Package File 8 ------------------------------------------------------------------------------ 9 -- This file is part of 'SLAC Firmware Standard Library'. 10 -- It is subject to the license terms in the LICENSE.txt file found in the 11 -- top-level directory of this distribution and at: 12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. 13 -- No part of 'SLAC Firmware Standard Library', including this file, 14 -- may be copied, modified, propagated, or distributed except according to 15 -- the terms contained in the LICENSE.txt file. 16 ------------------------------------------------------------------------------ 19 use IEEE.STD_LOGIC_1164.
all;
25 --! @ingroup base_general 27 -- Typing std_logic(_vector) is annoying 31 -- Declare arrays of built in types 32 --type SlvArray is array (natural range <>) of slv; -- not supported in VCS yet (14APRIL2014 -- LLR) 40 -- Declare vector arrays of built in types 41 --type SlvVectorArray is array (natural range<>, natural range<>) of slv; -- not supported in VCS yet (14APRIL2014 -- LLR) 49 -- Create an arbitrary sized slv with all bits set high or low 54 -- Very useful functions 57 function log2 (
constant number : )
return ;
58 function bitSize (
constant number : )
return positive;
60 function wordCount (number : positive; wordSize : positive :=
8)
return ;
62 -- Similar to python's range() function 65 -- Simple decoder and mux functions 69 -- This should be unnecessary in VHDL 2008 76 -- Unary reduction operators, also unnecessary in VHDL 2008 81 -- Test if all bits in a vector are set to a given logic value 85 -- These just use uXor to calculate parity 86 -- Output is parity bit value needed to achieve that parity given vec. 90 -- Functions for counting the number of '1' in a slv bus 94 -- Gray Code functions 100 -- Linear Feedback Shift Register function 106 -- One line if-then-else functions. Useful for assigning constants based on generics. 107 function ite(i : ; t : ; e : )
return ;
110 function ite(i : ; t : ; e : )
return ;
111 function ite(i : ; t : ; e : )
return ;
112 function ite(i : ; t : ; e : )
return ;
113 function ite(i : ; t : ; e : )
return ;
114 function ite(i : ; t : ; e : )
return ;
115 function ite(i : ; t : ; e : )
return ;
117 -- conv_std_logic_vector functions 120 -- gets real multiplication and division with integers 121 function "*" (L : ; R : )
return ;
122 function "*" (L : ; R : )
return ;
123 function "/" (L : ; R : )
return ;
124 function "/" (L : ; R : )
return ;
137 -- Resize vector types, either by trimming or padding upper indicies 139 function resize (str : ; newSize : ; pad : := nul)
return ;
141 -- Some synthesis tools wont accept unit types 142 -- pragma translate_off 152 -- pragma translate_on 154 -- Add more slv array sizes here as they become needed 412 -- Add more slv vector array sizes here as they become needed 671 -- Mux a SlVectorArray into an SLV 674 -- Build Information: 675 -- BUILD_INFO_G(2047 downto 0) = buildString 676 -- BUILD_INFO_G(2079 downto 2048) = fwVersion 677 -- BUILD_INFO_G(2239 downto 2080) = gitHash 699 variable retVar :
slv(size-
1 downto 0) :=
(others =>
value);
706 return slvAll
(size, '
0'
);
711 return slvAll
(size, '
1'
);
716 return isPowerOf2
(toSlv
(number,
32));
717 end function isPowerOf2;
721 return ((vector
) /=
0) and 722 (((vector
) and ((vector
)-
1)) =
0);
723 end function isPowerOf2;
725 --------------------------------------------------------------------------------------------------------------------- 727 -- Purpose: Finds the log base 2 of an integer 728 -- Input is rounded up to nearest power of two. 729 -- Therefore log2(5) = log2(8) = 3. 730 -- Arg: number - integer to find log2 of 731 -- Returns: Integer containing log base two of input. 732 --------------------------------------------------------------------------------------------------------------------- 733 function log2(
constant number : )
return is 738 return (ceil
(ieee.
math_real.log2
((number
))));
741 -- Find number of bits needed to store a number 742 function bitSize (
constant number : )
return positive
is 744 if (number =
0 or number =
1) then 747 if (isPowerOf2
(number
)) then 748 return log2
(number
) +
1;
755 -- NOTE: XST will crap its pants if you try to pass a constant to this function 757 variable resultVar :
slv(a'
range);
758 alias aa :
slv(a'
reverse_range) is a;
760 for i
in aa'
range loop 761 resultVar
(i
) := aa
(i
);
766 function wordCount
(number : positive; wordSize : positive :=
8) return is 769 ret := number / wordSize;
770 if (number
mod wordSize /=
0) then 774 end function wordCount;
779 for i
in retVar'
range loop 780 retVar
(i
) := start +
(i * step
);
786 begin -- function toBoolean 788 end function toBoolean;
806 end function toString;
810 if (str =
"TRUE" or str =
"true") then 815 end function toBoolean;
818 variable ret :
slv(bools'
range) :=
(others => '
0'
);
820 for i
in ret'
range loop 821 ret
(i
) := toSl
(bools
(i
));
826 -------------------------------------------------------------------------------------------------- 828 -------------------------------------------------------------------------------------------------- 831 variable res :
slv((2**v'
length)-
1 downto 0);
834 res :=
(others => '
0'
);
836 i := to_integer
((v
));
841 -- generic multiplexer 842 function genmux
(s, v :
slv) return sl is 843 variable res :
slv(v'
length-
1 downto 0);
848 i := to_integer
((s
));
852 --------------------------------------------------------------------------------------------------------------------- 853 -- Unary reduction operators 854 --------------------------------------------------------------------------------------------------------------------- 855 function uOr
(vec :
slv) return sl is 857 for i
in vec'
range loop 858 if (vec
(i
) = '
1'
) then 867 for i
in vec'
range loop 868 if (vec
(i
) = '
0'
) then 876 variable intVar :
sl;
878 for i
in vec'
range loop 879 if (i = vec'
left) then 882 intVar := intVar
xor vec
(i
);
890 for i
in vec'
range loop 891 if (vec
(i
) /= test
) then 900 for i
in vec'
range loop 901 if (vec
(i
) = test
) then 908 ----------------------------------------------------------------------------- 909 -- Functions to determine parity of arbitrary sized slv 910 ----------------------------------------------------------------------------- 911 -- returns '1' if vec has even parity 915 return not uXor
(vec
);
918 -- return '1' if vec has odd parity 925 ----------------------------------------------------------------------------- 926 -- Functions for counting the number of '1' in a slv bus 927 ----------------------------------------------------------------------------- 928 -- New Non-recursive onesCount Function 931 variable retVar :
((bitSize
(vec'
length)-
1) downto 0) := to_unsigned
(0,bitSize
(vec'
length));
933 for i
in vec'
range loop 935 retVar := retVar +
1;
945 return slv(onesCountU
(vec
));
946 end function onesCount;
948 -- -- Old Recursive onesCount Function 949 -- function onesCount (vec : slv) return unsigned is 950 -- variable topVar : slv(vec'high downto vec'low+(vec'length/2)); 951 -- variable bottomVar : slv(topVar'low-1 downto vec'low); 952 -- variable tmpVar : slv(2 downto 0); 954 -- if (vec'length = 1) then 955 -- return '0' & unsigned(vec); 958 -- if (vec'length = 2) then 959 -- return uAnd(vec) & uXor(vec); 962 -- if (vec'length = 3) then 965 -- when "000" => return "00"; 966 -- when "001" => return "01"; 967 -- when "010" => return "01"; 968 -- when "011" => return "10"; 969 -- when "100" => return "01"; 970 -- when "101" => return "10"; 971 -- when "110" => return "10"; 972 -- when "111" => return "11"; 973 -- when others => return "00"; 977 -- topVar := vec(vec'high downto (vec'high+1)-((vec'length+1)/2)); 978 -- bottomVar := vec(vec'high-((vec'length+1)/2) downto vec'low); 980 -- return ('0' & onesCount(topVar)) + ('0' & onesCount(bottomVar)); 984 -- function onesCount (vec : slv) 986 -- variable retVar : slv((bitSize(vec'length)-1) downto 0); 987 -- variable cntVar : unsigned((bitSize(vec'length)-1) downto 0); 989 -- cntVar := onesCount(vec); 990 -- retVar := slv(cntVar); 994 ----------------------------------------------------------------------------- 995 -- Functions for encoding and decoding grey codes 996 ----------------------------------------------------------------------------- 997 -- Get next gray code given binary vector 1001 return vec
xor shift_right
(vec,
1);
1008 return slv(grayEncode
((vec
)));
1011 -- Get the binary equivalent of a Gray code created with gray_encode. 1014 variable retVar :
(vec'
range) :=
(others => '
0'
);
1016 for i
in vec'
range loop 1017 if (i = vec'
left) then 1018 retVar
(i
) := vec
(i
);
1020 if (vec'
ascending) then 1021 retVar
(i
) := retVar
(i-
1) xor vec
(i
);
1023 retVar
(i
) := retVar
(i+
1) xor vec
(i
);
1034 return slv(grayDecode
((vec
)));
1037 ------------------------------------------------------------------------------------------------- 1038 -- Implements an N tap linear feedback shift operation 1039 -- Size of LFSR is variable and determined by length of lfsr parameter 1040 -- Number of taps is variable and determined by length of taps array parameter 1041 -- An input parameter is also available for use in scramblers 1042 -- Output is new lfsr value after one shift operation 1043 -- The lfsr param can be indexed ascending or decending 1044 -- The shift is in the direction of increasing index (left shift for decending, right for ascending) 1045 ------------------------------------------------------------------------------------------------- 1047 variable retVar :
slv(lfsr'
range) :=
(others => '
0'
);
1049 if (lfsr'
ascending) then 1050 retVar := input & lfsr
(lfsr'
left to lfsr'
right-
1);
1052 retVar := lfsr
(lfsr'
left-
1 downto lfsr'
right) & input;
1055 for i
in taps'
range loop 1056 assert (taps
(i
) <= lfsr'
high) report "lfsrShift() - Tap value exceedes lfsr range" severity failure;
1057 retVar
(lfsr'
low) := retVar
(lfsr'
low) xor lfsr
(taps
(i
));
1063 ------------------------------------------------------------------------------------------------- 1064 -- One line if-then-else functions. 1065 ------------------------------------------------------------------------------------------------- 1067 function ite (i : ; t : ; e : )
return is 1069 if (i
) then return t;
else return e;
end if;
1074 if (i
) then return t;
else return e;
end if;
1079 if (i
) then return t;
else return e;
end if;
1082 function ite (i : ; t : ; e : )
return is 1084 if (i
) then return t;
else return e;
end if;
1087 function ite (i : ; t : ; e : )
return is 1089 if (i
) then return t;
else return e;
end if;
1092 function ite (i : ; t : ; e : )
return is 1094 if (i
) then return t;
else return e;
end if;
1097 function ite (i : ; t : ; e : )
return is 1099 if (i
) then return t;
else return e;
end if;
1102 function ite (i : ; t : ; e : )
return is 1104 if (i
) then return t;
else return e;
end if;
1107 function ite (i : ; t : ; e : )
return is 1109 if (i
) then return t;
else return e;
end if;
1112 ----------------------------- 1114 ----------------------------- 1117 if left >
right then return left;
1124 if left <
right then return left;
1129 ----------------------------- 1130 -- conv_std_logic_vector functions 1131 -- without calling the STD_LOGIC_ARITH library 1132 ----------------------------- 1134 -- convert an integer to an STD_LOGIC_VECTOR 1138 return slv(to_unsigned
(0, SIZE
));
1140 return slv(to_unsigned
(ARG, SIZE
));
1144 ------------------------------------------------------------------------------------------------- 1145 -- Multiply and divide reals and integer 1146 ------------------------------------------------------------------------------------------------- 1147 function "*" (L : ; R :
) return is 1152 function "*" (L : ; R : )
return is 1157 function "/" (L : ; R : )
return is 1162 function "/" (L : ; R : )
return is 1167 ------------------------------------------------------------------------------------------------- 1168 -- Simulates an ADC conversion 1169 ------------------------------------------------------------------------------------------------- 1180 variable retSigned :
(bits-
1 downto 0);
1181 variable retUnsigned :
(bits-
1 downto 0);
1185 -- Constrain input to full scale range 1186 tmpR := realmin
(high, tmpR
);
1187 tmpR := realmax
(low, tmpR
);
1189 -- Scale to [0,1] or [-.5,.5] 1190 tmpR :=
(tmpR-
low)/
(high-
low) + ite
(twosComp, -
0.
5,
0.
0);
1192 -- Scale to number of bits 1193 tmpR := tmpR *
(2**bits
);
1196 retSigned := to_signed
((round
(tmpR
)), bits
);
1197 return slv(retSigned
);
1199 retUnsigned := to_unsigned
((round
(tmpR
)), bits
);
1200 return slv(retUnsigned
);
1202 end function adcConversion;
1204 ----------------------------- 1205 -- gets a time ratio 1206 ----------------------------- 1214 return (ROUND
(abs(T1/T2
)));
1217 --------------------------------------------------------------------------------------------------------------------- 1218 -- Convert a frequency to a period (time). 1219 --------------------------------------------------------------------------------------------------------------------- 1220 -- pragma translate_off 1223 return(1.
0 sec /
(f/
Hz));
1225 --pragma translate_on 1227 ----------------------------- 1228 -- Mux a SlVectorArray into an SLV 1229 ----------------------------- 1232 allowOutOfRange : := false)
1234 variable retVar :
slv(vec'
range(2));
1236 -- Check the limit of the address 1237 if (addr < vec'
length(1)) or (allowOutOfRange = false
) then 1238 for i
in vec'
range(2) loop 1239 retVar
(i
) := vec
(addr, i
);
1242 retVar :=
(others => '
0'
);
1255 i := i+
value'
length;
1256 vector
(i-
1 downto low) :=
value;
1257 end procedure assignSlv;
1259 procedure assignSlv
( 1267 end procedure assignSlv;
1269 procedure assignRecord
( 1277 i := i+
value'
length;
1278 value := vector
(i-
1 downto low);
1279 end procedure assignRecord;
1281 procedure assignRecord
( 1289 end procedure assignRecord;
1291 -- Resize an SLV, either by trimming or padding upper bits 1292 function resize
( vec :
slv; newSize : ; pad :
sl:='
0'
) return slv is 1293 variable ret :
slv(newSize-
1 downto 0);
1294 variable tmp :
slv(vec'
length-
1 downto 0);
1297 ret :=
(others => pad
);
1298 tmp := vec;
-- handles ranges that arent x:0 1299 top := minimum
( newSize, vec'
length) -
1;
1300 ret
(top
downto 0) := tmp
(top
downto 0);
1304 function resize (str : ; newSize : ; pad : := nul)
return is 1305 variable ret :
(1 to newSize
);
1306 variable tmp :
(1 to str'
length);
1309 ret :=
(others => pad
);
1311 top := minimum
( newSize, str'
length);
1312 ret
(1 to top
) := tmp
(1 to top
);
1314 end function resize;
1320 for i
in 0 to 255 loop 1321 ret.
buildString(i/
4)(8*
(i
mod 4)+
7 downto 8*
(i
mod 4)) := din
(2047-
(8*i
) downto 2040-
(8*i
));
1324 ret.
gitHash := din
(2239 downto 2080);
1331 for i
in 0 to 255 loop 1332 ret
(2047-
(8*i
) downto 2040-
(8*i
)) := din.
buildString(i/
4)(8*
(i
mod 4)+
7 downto 8*
(i
mod 4));
1335 ret
(2239 downto 2080) := din.
gitHash;
1339 end package body StdRtlPkg;
array(natural range <> ) of slv( 212 downto 0) Slv213Array
array(natural range <> ) of slv( 251 downto 0) Slv252Array
array(natural range <> ) of slv( 252 downto 0) Slv253Array
array(natural range <> ,natural range <> ) of slv( 99 downto 0) Slv100VectorArray
array(natural range <> ) of slv( 97 downto 0) Slv98Array
array(natural range <> ,natural range <> ) of slv( 7 downto 0) Slv8VectorArray
slv muxSlVectorArrayvec,addr,allowOutOfRange,
array(natural range <> ) of slv( 194 downto 0) Slv195Array
array(natural range <> ,natural range <> ) of slv( 176 downto 0) Slv177VectorArray
array(natural range <> ,natural range <> ) of slv( 239 downto 0) Slv240VectorArray
array(natural range <> ,natural range <> ) of slv( 14 downto 0) Slv15VectorArray
array(natural range <> ) of slv( 228 downto 0) Slv229Array
array(natural range <> ) of slv( 81 downto 0) Slv82Array
array(natural range <> ,natural range <> ) of slv( 126 downto 0) Slv127VectorArray
array(natural range <> ,natural range <> ) of slv( 180 downto 0) Slv181VectorArray
array(natural range <> ) of slv( 186 downto 0) Slv187Array
array(natural range <> ,natural range <> ) of slv( 106 downto 0) Slv107VectorArray
array(natural range <> ) of slv( 207 downto 0) Slv208Array
array(natural range <> ) of slv( 234 downto 0) Slv235Array
array(natural range <> ,natural range <> ) of slv( 108 downto 0) Slv109VectorArray
array(natural range <> ,natural range <> ) of slv( 134 downto 0) Slv135VectorArray
array(natural range <> ) of slv( 123 downto 0) Slv124Array
array(natural range <> ,natural range <> ) of slv( 236 downto 0) Slv237VectorArray
array(natural range <> ) of slv( 33 downto 0) Slv34Array
array(natural range <> ,natural range <> ) of slv( 164 downto 0) Slv165VectorArray
array(natural range <> ,natural range <> ) of slv( 216 downto 0) Slv217VectorArray
array(natural range <> ) of slv( 114 downto 0) Slv115Array
array(natural range <> ,natural range <> ) of slv( 33 downto 0) Slv34VectorArray
array(natural range <> ) of slv( 231 downto 0) Slv232Array
array(natural range <> ) of slv( 225 downto 0) Slv226Array
array(natural range <> ,natural range <> ) of slv( 173 downto 0) Slv174VectorArray
array(natural range <> ) of slv( 189 downto 0) Slv190Array
array(natural range <> ) of slv( 31 downto 0) Slv32Array
array(natural range <> ) of slv( 190 downto 0) Slv191Array
array(natural range <> ,natural range <> ) of slv( 140 downto 0) Slv141VectorArray
array(natural range <> ) of slv( 77 downto 0) Slv78Array
array(natural range <> ) of slv( 204 downto 0) Slv205Array
array(natural range <> ) of slv( 11 downto 0) Slv12Array
array(natural range <> ,natural range <> ) of slv( 192 downto 0) Slv193VectorArray
array(natural range <> ) of slv( 26 downto 0) Slv27Array
array(natural range <> ) of slv( 70 downto 0) Slv71Array
array(natural range <> ,natural range <> ) of slv( 129 downto 0) Slv130VectorArray
array(natural range <> ) of slv( 235 downto 0) Slv236Array
array(natural range <> ,natural range <> ) of slv( 152 downto 0) Slv153VectorArray
array(natural range <> ,natural range <> ) of slv( 121 downto 0) Slv122VectorArray
array(natural range <> ) of slv( 2 downto 0) Slv3Array
array(natural range <> ) of slv( 236 downto 0) Slv237Array
array(natural range <> ,natural range <> ) of slv( 68 downto 0) Slv69VectorArray
array(natural range <> ) of slv( 129 downto 0) Slv130Array
array(natural range <> ) of real RealArray
array(natural range <> ) of slv( 58 downto 0) Slv59Array
array(natural range <> ,natural range <> ) of slv( 88 downto 0) Slv89VectorArray
array(natural range <> ,natural range <> ) of slv( 0 downto 0) Slv1VectorArray
array(natural range <> ) of slv( 153 downto 0) Slv154Array
array(natural range <> ,natural range <> ) of slv( 105 downto 0) Slv106VectorArray
array(natural range <> ,natural range <> ) of slv( 151 downto 0) Slv152VectorArray
array(natural range <> ) of slv( 40 downto 0) Slv41Array
array(natural range <> ,natural range <> ) of slv( 158 downto 0) Slv159VectorArray
array(natural range <> ,natural range <> ) of slv( 104 downto 0) Slv105VectorArray
array(natural range <> ) of slv( 64 downto 0) Slv65Array
array(natural range <> ) of slv( 211 downto 0) Slv212Array
array(natural range <> ,natural range <> ) of slv( 25 downto 0) Slv26VectorArray
array(natural range <> ,natural range <> ) of slv( 29 downto 0) Slv30VectorArray
array(natural range <> ) of slv( 165 downto 0) Slv166Array
array(natural range <> ,natural range <> ) of slv( 45 downto 0) Slv46VectorArray
array(natural range <> ) of slv( 28 downto 0) Slv29Array
array(natural range <> ,natural range <> ) of slv( 10 downto 0) Slv11VectorArray
array(natural range <> ) of slv( 85 downto 0) Slv86Array
array(natural range <> ) of slv( 0 downto 0) Slv1Array
array(natural range <> ,natural range <> ) of slv( 85 downto 0) Slv86VectorArray
array(natural range <> ,natural range <> ) of slv( 122 downto 0) Slv123VectorArray
array(natural range <> ,natural range <> ) of slv( 255 downto 0) Slv256VectorArray
array(natural range <> ,natural range <> ) of slv( 124 downto 0) Slv125VectorArray
array(natural range <> ,natural range <> ) of slv( 39 downto 0) Slv40VectorArray
array(natural range <> ,natural range <> ) of slv( 27 downto 0) Slv28VectorArray
array(natural range <> ) of slv( 208 downto 0) Slv209Array
array(natural range <> ) of slv( 105 downto 0) Slv106Array
array(natural range <> ) of slv( 137 downto 0) Slv138Array
array(natural range <> ,natural range <> ) of slv( 31 downto 0) Slv32VectorArray
array(natural range <> ) of slv( 237 downto 0) Slv238Array
array(natural range <> ) of slv( 166 downto 0) Slv167Array
array(natural range <> ,natural range <> ) of slv( 156 downto 0) Slv157VectorArray
assignRecordi,vector,value,
array(natural range <> ,natural range <> ) of slv( 159 downto 0) Slv160VectorArray
array(natural range <> ) of slv( 18 downto 0) Slv19Array
array(natural range <> ) of slv( 25 downto 0) Slv26Array
array(natural range <> ) of slv( 151 downto 0) Slv152Array
array(natural range <> ) of slv( 91 downto 0) Slv92Array
array(natural range <> ,natural range <> ) of slv( 89 downto 0) Slv90VectorArray
array(natural range <> ) of slv( 121 downto 0) Slv122Array
array(natural range <> ,natural range <> ) of slv( 130 downto 0) Slv131VectorArray
array(natural range <> ) of slv( 93 downto 0) Slv94Array
array(natural range <> ) of slv( 205 downto 0) Slv206Array
slv( 2239 downto 0) BuildInfoType
array(natural range <> ) of slv( 210 downto 0) Slv211Array
array(natural range <> ) of slv( 254 downto 0) Slv255Array
boolean isPowerOf2number,
array(natural range <> ,natural range <> ) of slv( 46 downto 0) Slv47VectorArray
array(natural range <> ) of slv( 156 downto 0) Slv157Array
array(natural range <> ) of slv( 20 downto 0) Slv21Array
array(natural range <> ) of slv( 248 downto 0) Slv249Array
array(natural range <> ) of slv( 117 downto 0) Slv118Array
array(natural range <> ) of slv( 200 downto 0) Slv201Array
array(natural range <> ) of slv( 148 downto 0) Slv149Array
array(natural range <> ) of slv( 47 downto 0) Slv48Array
array(natural range <> ) of slv( 14 downto 0) Slv15Array
array(natural range <> ,natural range <> ) of slv( 112 downto 0) Slv113VectorArray
array(natural range <> ,natural range <> ) of slv( 117 downto 0) Slv118VectorArray
array(natural range <> ) of slv( 120 downto 0) Slv121Array
array(natural range <> ,natural range <> ) of slv( 209 downto 0) Slv210VectorArray
array(natural range <> ,natural range <> ) of slv( 167 downto 0) Slv168VectorArray
array(natural range <> ,natural range <> ) of slv( 60 downto 0) Slv61VectorArray
array(natural range <> ) of slv( 19 downto 0) Slv20Array
array(natural range <> ,natural range <> ) of time TimeVectorArray
array(natural range <> ) of slv( 214 downto 0) Slv215Array
array(natural range <> ) of slv( 95 downto 0) Slv96Array
array(natural range <> ) of slv( 22 downto 0) Slv23Array
array(natural range <> ,natural range <> ) of slv( 118 downto 0) Slv119VectorArray
array(natural range <> ,natural range <> ) of slv( 157 downto 0) Slv158VectorArray
array(natural range <> ) of slv( 53 downto 0) Slv54Array
array(natural range <> ) of slv( 27 downto 0) Slv28Array
array(natural range <> ) of slv( 76 downto 0) Slv77Array
array(natural range <> ,natural range <> ) of slv( 160 downto 0) Slv161VectorArray
array(natural range <> ,natural range <> ) of slv( 161 downto 0) Slv162VectorArray
array(natural range <> ,natural range <> ) of slv( 147 downto 0) Slv148VectorArray
array(natural range <> ) of slv( 177 downto 0) Slv178Array
array(natural range <> ) of slv( 227 downto 0) Slv228Array
array(natural range <> ) of slv( 142 downto 0) Slv143Array
array(natural range <> ,natural range <> ) of slv( 19 downto 0) Slv20VectorArray
array(natural range <> ,natural range <> ) of slv( 17 downto 0) Slv18VectorArray
array(natural range <> ,natural range <> ) of slv( 240 downto 0) Slv241VectorArray
array(natural range <> ,natural range <> ) of slv( 115 downto 0) Slv116VectorArray
array(natural range <> ,natural range <> ) of sl SlVectorArray
array(natural range <> ) of slv( 160 downto 0) Slv161Array
array(natural range <> ) of slv( 66 downto 0) Slv67Array
array(natural range <> ,natural range <> ) of slv( 73 downto 0) Slv74VectorArray
slv adcConversionain,low,high,bits,twosComp,
array(natural range <> ,natural range <> ) of slv( 221 downto 0) Slv222VectorArray
array(natural range <> ,natural range <> ) of slv( 96 downto 0) Slv97VectorArray
array(natural range <> ,natural range <> ) of slv( 28 downto 0) Slv29VectorArray
array(natural range <> ) of slv( 34 downto 0) Slv35Array
array(natural range <> ) of slv( 182 downto 0) Slv183Array
array(natural range <> ) of slv( 221 downto 0) Slv222Array
array(natural range <> ) of slv( 63 downto 0) Slv64Array
array(natural range <> ,natural range <> ) of slv( 113 downto 0) Slv114VectorArray
array(natural range <> ,natural range <> ) of slv( 218 downto 0) Slv219VectorArray
array(natural range <> ,natural range <> ) of slv( 185 downto 0) Slv186VectorArray
array(natural range <> ,natural range <> ) of slv( 243 downto 0) Slv244VectorArray
array(natural range <> ) of slv( 201 downto 0) Slv202Array
array(natural range <> ) of slv( 84 downto 0) Slv85Array
array(natural range <> ) of slv( 115 downto 0) Slv116Array
array(natural range <> ) of slv( 111 downto 0) Slv112Array
array(natural range <> ) of slv( 224 downto 0) Slv225Array
array(natural range <> ,natural range <> ) of slv( 47 downto 0) Slv48VectorArray
array(natural range <> ) of slv( 74 downto 0) Slv75Array
array(natural range <> ,natural range <> ) of slv( 72 downto 0) Slv73VectorArray
array(natural range <> ,natural range <> ) of slv( 137 downto 0) Slv138VectorArray
array(natural range <> ,natural range <> ) of slv( 219 downto 0) Slv220VectorArray
array(natural range <> ) of slv( 173 downto 0) Slv174Array
array(natural range <> ) of slv( 146 downto 0) Slv147Array
array(natural range <> ,natural range <> ) of slv( 16 downto 0) Slv17VectorArray
array(natural range <> ,natural range <> ) of slv( 196 downto 0) Slv197VectorArray
array(natural range <> ) of slv( 125 downto 0) Slv126Array
array(natural range <> ) of slv( 23 downto 0) Slv24Array
array(natural range <> ,natural range <> ) of slv( 61 downto 0) Slv62VectorArray
array(natural range <> ) of slv( 88 downto 0) Slv89Array
array(natural range <> ,natural range <> ) of slv( 145 downto 0) Slv146VectorArray
array(natural range <> ,natural range <> ) of slv( 233 downto 0) Slv234VectorArray
array(natural range <> ) of slv( 195 downto 0) Slv196Array
array(natural range <> ) of slv( 5 downto 0) Slv6Array
array(natural range <> ) of slv( 171 downto 0) Slv172Array
range 0 to 2147483647 Hz frequency
array(natural range <> ,natural range <> ) of slv( 248 downto 0) Slv249VectorArray
IntegerArray liststart,size,step,start,size,step,start,size,step,
slv( 159 downto 0) gitHash
array(natural range <> ,natural range <> ) of slv( 67 downto 0) Slv68VectorArray
array(natural range <> ) of slv( 241 downto 0) Slv242Array
array(natural range <> ,natural range <> ) of slv( 191 downto 0) Slv192VectorArray
array(natural range <> ,natural range <> ) of slv( 92 downto 0) Slv93VectorArray
array(natural range <> ,natural range <> ) of slv( 253 downto 0) Slv254VectorArray
array(natural range <> ,natural range <> ) of slv( 210 downto 0) Slv211VectorArray
array(natural range <> ) of slv( 109 downto 0) Slv110Array
integer maximumleft,right,left,right,
array(natural range <> ,natural range <> ) of slv( 163 downto 0) Slv164VectorArray
array(natural range <> ,natural range <> ) of positive PositiveVectorArray
array(natural range <> ) of slv( 61 downto 0) Slv62Array
array(natural range <> ) of slv( 193 downto 0) Slv194Array
array(natural range <> ) of slv( 71 downto 0) Slv72Array
array(natural range <> ,natural range <> ) of slv( 177 downto 0) Slv178VectorArray
array(natural range <> ) of slv( 43 downto 0) Slv44Array
array(natural range <> ,natural range <> ) of slv( 125 downto 0) Slv126VectorArray
array(natural range <> ) of slv( 174 downto 0) Slv175Array
array(natural range <> ,natural range <> ) of slv( 91 downto 0) Slv92VectorArray
array(natural range <> ,natural range <> ) of slv( 247 downto 0) Slv248VectorArray
array(natural range <> ) of slv( 243 downto 0) Slv244Array
array(natural range <> ) of slv( 138 downto 0) Slv139Array
array(natural range <> ) of slv( 246 downto 0) Slv247Array
array(natural range <> ,natural range <> ) of slv( 190 downto 0) Slv191VectorArray
array(natural range <> ) of slv( 6 downto 0) Slv7Array
array(natural range <> ) of slv( 145 downto 0) Slv146Array
array(natural range <> ) of slv( 87 downto 0) Slv88Array
array(natural range <> ,natural range <> ) of slv( 109 downto 0) Slv110VectorArray
array(natural range <> ,natural range <> ) of slv( 136 downto 0) Slv137VectorArray
array(natural range <> ) of time TimeArray
array(natural range <> ) of slv( 155 downto 0) Slv156Array
array(natural range <> ) of slv( 130 downto 0) Slv131Array
array(natural range <> ,natural range <> ) of slv( 212 downto 0) Slv213VectorArray
array(natural range <> ) of slv( 101 downto 0) Slv102Array
array(natural range <> ) of slv( 188 downto 0) Slv189Array
array(natural range <> ) of slv( 164 downto 0) Slv165Array
natural getTimeRatioT1,T2,T1,T2,
array(natural range <> ,natural range <> ) of slv( 142 downto 0) Slv143VectorArray
array(natural range <> ) of slv( 132 downto 0) Slv133Array
array(natural range <> ,natural range <> ) of slv( 171 downto 0) Slv172VectorArray
array(natural range <> ) of slv( 13 downto 0) Slv14Array
array(natural range <> ) of slv( 108 downto 0) Slv109Array
array(natural range <> ,natural range <> ) of slv( 44 downto 0) Slv45VectorArray
array(natural range <> ,natural range <> ) of slv( 48 downto 0) Slv49VectorArray
array(natural range <> ) of slv( 21 downto 0) Slv22Array
array(natural range <> ,natural range <> ) of slv( 133 downto 0) Slv134VectorArray
array(natural range <> ) of slv( 250 downto 0) Slv251Array
array(natural range <> ,natural range <> ) of slv( 237 downto 0) Slv238VectorArray
array(natural range <> ) of slv( 75 downto 0) Slv76Array
array(natural range <> ,natural range <> ) of slv( 175 downto 0) Slv176VectorArray
array(natural range <> ) of slv( 168 downto 0) Slv169Array
array(natural range <> ,natural range <> ) of slv( 120 downto 0) Slv121VectorArray
array(natural range <> ) of slv( 199 downto 0) Slv200Array
array(natural range <> ,natural range <> ) of slv( 37 downto 0) Slv38VectorArray
array(natural range <> ) of slv( 128 downto 0) Slv129Array
array(natural range <> ,natural range <> ) of natural NaturalVectorArray
array(natural range <> ,natural range <> ) of slv( 58 downto 0) Slv59VectorArray
array(natural range <> ) of slv( 50 downto 0) Slv51Array
array(natural range <> ) of slv( 163 downto 0) Slv164Array
array(natural range <> ) of slv( 218 downto 0) Slv219Array
array(natural range <> ) of slv( 60 downto 0) Slv61Array
array(natural range <> ,natural range <> ) of slv( 201 downto 0) Slv202VectorArray
array(natural range <> ) of slv( 213 downto 0) Slv214Array
array(natural range <> ) of slv( 172 downto 0) Slv173Array
array(natural range <> ,natural range <> ) of slv( 146 downto 0) Slv147VectorArray
array(natural range <> ,natural range <> ) of slv( 5 downto 0) Slv6VectorArray
array(natural range <> ,natural range <> ) of slv( 174 downto 0) Slv175VectorArray
array(natural range <> ,natural range <> ) of slv( 153 downto 0) Slv154VectorArray
array(natural range <> ) of slv( 118 downto 0) Slv119Array
array(natural range <> ,natural range <> ) of slv( 82 downto 0) Slv83VectorArray
array(natural range <> ,natural range <> ) of slv( 22 downto 0) Slv23VectorArray
array(natural range <> ,natural range <> ) of slv( 77 downto 0) Slv78VectorArray
array(natural range <> ) of slv( 94 downto 0) Slv95Array
array(natural range <> ,natural range <> ) of slv( 53 downto 0) Slv54VectorArray
array(natural range <> ,natural range <> ) of slv( 206 downto 0) Slv207VectorArray
array(natural range <> ,natural range <> ) of slv( 62 downto 0) Slv63VectorArray
array(natural range <> ) of slv( 154 downto 0) Slv155Array
array(natural range <> ,natural range <> ) of slv( 102 downto 0) Slv103VectorArray
array(natural range <> ,natural range <> ) of slv( 64 downto 0) Slv65VectorArray
array(natural range <> ) of slv( 30 downto 0) Slv31Array
array(natural range <> ) of slv( 215 downto 0) Slv216Array
array(natural range <> ,natural range <> ) of slv( 238 downto 0) Slv239VectorArray
array(natural range <> ,natural range <> ) of slv( 1 downto 0) Slv2VectorArray
array(natural range <> ) of slv( 69 downto 0) Slv70Array
array(natural range <> ) of slv( 143 downto 0) Slv144Array
array(natural range <> ,natural range <> ) of slv( 18 downto 0) Slv19VectorArray
array(natural range <> ,natural range <> ) of slv( 150 downto 0) Slv151VectorArray
array(natural range <> ) of slv( 92 downto 0) Slv93Array
array(natural range <> ,natural range <> ) of slv( 49 downto 0) Slv50VectorArray
array(natural range <> ,natural range <> ) of slv( 74 downto 0) Slv75VectorArray
array(natural range <> ,natural range <> ) of slv( 135 downto 0) Slv136VectorArray
array(natural range <> ) of slv( 49 downto 0) Slv50Array
array(natural range <> ,natural range <> ) of slv( 65 downto 0) Slv66VectorArray
array(natural range <> ,natural range <> ) of slv( 183 downto 0) Slv184VectorArray
array(natural range <> ) of slv( 216 downto 0) Slv217Array
array(natural range <> ,natural range <> ) of slv( 205 downto 0) Slv206VectorArray
array(natural range <> ) of slv( 178 downto 0) Slv179Array
array(natural range <> ) of slv( 158 downto 0) Slv159Array
array(natural range <> ) of slv( 106 downto 0) Slv107Array
array(natural range <> ,natural range <> ) of slv( 6 downto 0) Slv7VectorArray
array(natural range <> ,natural range <> ) of slv( 203 downto 0) Slv204VectorArray
array(natural range <> ,natural range <> ) of slv( 181 downto 0) Slv182VectorArray
array(natural range <> ,natural range <> ) of slv( 81 downto 0) Slv82VectorArray
array(natural range <> ) of slv( 238 downto 0) Slv239Array
array(natural range <> ,natural range <> ) of slv( 217 downto 0) Slv218VectorArray
array(natural range <> ,natural range <> ) of slv( 119 downto 0) Slv120VectorArray
array(natural range <> ) of slv( 249 downto 0) Slv250Array
array(natural range <> ) of slv( 82 downto 0) Slv83Array
array(natural range <> ) of slv( 206 downto 0) Slv207Array
array(natural range <> ) of slv( 245 downto 0) Slv246Array
array(natural range <> ) of slv( 191 downto 0) Slv192Array
array(natural range <> ,natural range <> ) of slv( 110 downto 0) Slv111VectorArray
array(natural range <> ,natural range <> ) of slv( 251 downto 0) Slv252VectorArray
array(natural range <> ) of slv( 36 downto 0) Slv37Array
array(natural range <> ,natural range <> ) of slv( 93 downto 0) Slv94VectorArray
array(natural range <> ) of natural NaturalArray
array(natural range <> ) of slv( 113 downto 0) Slv114Array
array(natural range <> ) of slv( 86 downto 0) Slv87Array
array(natural range <> ) of positive PositiveArray
array(natural range <> ,natural range <> ) of slv( 75 downto 0) Slv76VectorArray
array(natural range <> ,natural range <> ) of slv( 56 downto 0) Slv57VectorArray
array(natural range <> ,natural range <> ) of slv( 63 downto 0) Slv64VectorArray
array(natural range <> ) of slv( 162 downto 0) Slv163Array
array(natural range <> ) of slv( 149 downto 0) Slv150Array
array(natural range <> ,natural range <> ) of slv( 79 downto 0) Slv80VectorArray
array(natural range <> ,natural range <> ) of slv( 116 downto 0) Slv117VectorArray
array(natural range <> ) of slv( 59 downto 0) Slv60Array
array(natural range <> ,natural range <> ) of slv( 252 downto 0) Slv253VectorArray
array(natural range <> ) of slv( 41 downto 0) Slv42Array
array(natural range <> ) of slv( 223 downto 0) Slv224Array
array(natural range <> ,natural range <> ) of slv( 54 downto 0) Slv55VectorArray
array(natural range <> ,natural range <> ) of slv( 213 downto 0) Slv214VectorArray
array(natural range <> ,natural range <> ) of slv( 127 downto 0) Slv128VectorArray
array(natural range <> ) of slv( 192 downto 0) Slv193Array
array(natural range <> ,natural range <> ) of slv( 195 downto 0) Slv196VectorArray
array(natural range <> ) of slv( 159 downto 0) Slv160Array
array(natural range <> ,natural range <> ) of slv( 9 downto 0) Slv10VectorArray
array(natural range <> ,natural range <> ) of slv( 149 downto 0) Slv150VectorArray
array(natural range <> ) of slv( 255 downto 0) Slv256Array
array(natural range <> ) of slv( 157 downto 0) Slv158Array
array(natural range <> ) of slv( 55 downto 0) Slv56Array
array(natural range <> ) of slv( 119 downto 0) Slv120Array
array(natural range <> ) of slv( 136 downto 0) Slv137Array
array(natural range <> ) of slv( 217 downto 0) Slv218Array
array(natural range <> ) of slv( 12 downto 0) Slv13Array
array(natural range <> ) of slv( 184 downto 0) Slv185Array
array(natural range <> ,natural range <> ) of slv( 131 downto 0) Slv132VectorArray
array(natural range <> ,natural range <> ) of slv( 76 downto 0) Slv77VectorArray
array(natural range <> ) of slv( 99 downto 0) Slv100Array
array(natural range <> ,natural range <> ) of slv( 199 downto 0) Slv200VectorArray
array(natural range <> ) of slv( 98 downto 0) Slv99Array
array(natural range <> ,natural range <> ) of slv( 52 downto 0) Slv53VectorArray
array(natural range <> ) of slv( 219 downto 0) Slv220Array
array(natural range <> ,natural range <> ) of slv( 11 downto 0) Slv12VectorArray
array(natural range <> ,natural range <> ) of slv( 169 downto 0) Slv170VectorArray
array(natural range <> ,natural range <> ) of slv( 43 downto 0) Slv44VectorArray
array(natural range <> ,natural range <> ) of slv( 234 downto 0) Slv235VectorArray
array(natural range <> ) of slv( 233 downto 0) Slv234Array
array(natural range <> ,natural range <> ) of slv( 198 downto 0) Slv199VectorArray
array(natural range <> ) of slv( 10 downto 0) Slv11Array
array(natural range <> ,natural range <> ) of slv( 144 downto 0) Slv145VectorArray
array(natural range <> ,natural range <> ) of slv( 245 downto 0) Slv246VectorArray
array(natural range <> ,natural range <> ) of slv( 249 downto 0) Slv250VectorArray
array(natural range <> ) of slv( 62 downto 0) Slv63Array
array(natural range <> ,natural range <> ) of slv( 26 downto 0) Slv27VectorArray
array(natural range <> ) of slv( 79 downto 0) Slv80Array
array(natural range <> ,natural range <> ) of slv( 70 downto 0) Slv71VectorArray
array(natural range <> ,natural range <> ) of slv( 98 downto 0) Slv99VectorArray
array(natural range <> ,natural range <> ) of slv( 141 downto 0) Slv142VectorArray
array(natural range <> ) of slv( 38 downto 0) Slv39Array
array(natural range <> ) of slv( 112 downto 0) Slv113Array
array(natural range <> ) of slv( 152 downto 0) Slv153Array
array(natural range <> ,natural range <> ) of slv( 50 downto 0) Slv51VectorArray
array(natural range <> ,natural range <> ) of real RealVectorArray
array(natural range <> ) of slv( 127 downto 0) Slv128Array
array(natural range <> ) of slv( 131 downto 0) Slv132Array
array(natural range <> ,natural range <> ) of slv( 34 downto 0) Slv35VectorArray
array(natural range <> ,natural range <> ) of slv( 107 downto 0) Slv108VectorArray
array(natural range <> ,natural range <> ) of slv( 114 downto 0) Slv115VectorArray
array(natural range <> ) of slv( 103 downto 0) Slv104Array
array(natural range <> ) of slv( 39 downto 0) Slv40Array
array(natural range <> ,natural range <> ) of slv( 13 downto 0) Slv14VectorArray
array(natural range <> ) of slv( 24 downto 0) Slv25Array
array(natural range <> ,natural range <> ) of slv( 86 downto 0) Slv87VectorArray
BuildInfoRetType :=(buildString =>( others =>( others => '0')),fwVersion => X"00000000",gitHash =>( others => '0')) BUILD_INFO_DEFAULT_C
array(natural range <> ) of slv( 181 downto 0) Slv182Array
array(natural range <> ) of slv( 202 downto 0) Slv203Array
array(natural range <> ) of slv( 133 downto 0) Slv134Array
array(natural range <> ) of slv( 230 downto 0) Slv231Array
array(natural range <> ,natural range <> ) of slv( 3 downto 0) Slv4VectorArray
array(natural range <> ) of slv( 116 downto 0) Slv117Array
array(natural range <> ,natural range <> ) of slv( 242 downto 0) Slv243VectorArray
array(natural range <> ) of slv( 124 downto 0) Slv125Array
array(natural range <> ) of slv( 203 downto 0) Slv204Array
array(natural range <> ,natural range <> ) of slv( 57 downto 0) Slv58VectorArray
integer minimumleft,right,left,right,
array(natural range <> ) of slv( 16 downto 0) Slv17Array
array(natural range <> ) of slv( 68 downto 0) Slv69Array
array(natural range <> ) of slv( 15 downto 0) Slv16Array
array(natural range <> ,natural range <> ) of slv( 166 downto 0) Slv167VectorArray
array(natural range <> ,natural range <> ) of slv( 100 downto 0) Slv101VectorArray
array(natural range <> ,natural range <> ) of slv( 36 downto 0) Slv37VectorArray
array(natural range <> ) of slv( 222 downto 0) Slv223Array
array(natural range <> ) of slv( 48 downto 0) Slv49Array
array(natural range <> ,natural range <> ) of slv( 94 downto 0) Slv95VectorArray
array(natural range <> ,natural range <> ) of slv( 211 downto 0) Slv212VectorArray
array(natural range <> ,natural range <> ) of slv( 188 downto 0) Slv189VectorArray
array(natural range <> ,natural range <> ) of slv( 83 downto 0) Slv84VectorArray
array(natural range <> ) of slv( 232 downto 0) Slv233Array
array(natural range <> ) of slv( 8 downto 0) Slv9Array
array(natural range <> ) of slv( 253 downto 0) Slv254Array
array(natural range <> ,natural range <> ) of slv( 189 downto 0) Slv190VectorArray
array(natural range <> ,natural range <> ) of slv( 200 downto 0) Slv201VectorArray
array(natural range <> ,natural range <> ) of slv( 59 downto 0) Slv60VectorArray
array(natural range <> ) of slv( 196 downto 0) Slv197Array
array(natural range <> ,natural range <> ) of slv( 225 downto 0) Slv226VectorArray
array(natural range <> ) of slv( 32 downto 0) Slv33Array
array(natural range <> ,natural range <> ) of integer IntegerVectorArray
array(natural range <> ) of slv( 139 downto 0) Slv140Array
array(natural range <> ) of slv( 29 downto 0) Slv30Array
array(natural range <> ) of slv( 144 downto 0) Slv145Array
array(natural range <> ,natural range <> ) of slv( 154 downto 0) Slv155VectorArray
array(natural range <> ,natural range <> ) of slv( 224 downto 0) Slv225VectorArray
array(natural range <> ,natural range <> ) of slv( 226 downto 0) Slv227VectorArray
array(natural range <> ) of slv( 83 downto 0) Slv84Array
array(natural range <> ) of slv( 65 downto 0) Slv66Array
array(natural range <> ) of slv( 104 downto 0) Slv105Array
array(natural range <> ,natural range <> ) of slv( 184 downto 0) Slv185VectorArray
array(natural range <> ,natural range <> ) of slv( 84 downto 0) Slv85VectorArray
array(natural range <> ) of slv( 122 downto 0) Slv123Array
array(natural range <> ,natural range <> ) of slv( 230 downto 0) Slv231VectorArray
array(natural range <> ,natural range <> ) of slv( 103 downto 0) Slv104VectorArray
slv lfsrShiftlfsr,taps,input,
array(natural range <> ,natural range <> ) of slv( 32 downto 0) Slv33VectorArray
array(natural range <> ) of slv( 35 downto 0) Slv36Array
array(natural range <> ) of slv( 226 downto 0) Slv227Array
array(natural range <> ,natural range <> ) of slv( 178 downto 0) Slv179VectorArray
array(natural range <> ) of slv( 4 downto 0) Slv5Array
array(natural range <> ) of slv( 161 downto 0) Slv162Array
array(natural range <> ) of slv( 57 downto 0) Slv58Array
array(natural range <> ,natural range <> ) of slv( 12 downto 0) Slv13VectorArray
array(natural range <> ,natural range <> ) of slv( 193 downto 0) Slv194VectorArray
array(natural range <> ,natural range <> ) of slv( 69 downto 0) Slv70VectorArray
array(natural range <> ) of slv( 45 downto 0) Slv46Array
array(natural range <> ,natural range <> ) of slv( 66 downto 0) Slv67VectorArray
array(natural range <> ,natural range <> ) of slv( 148 downto 0) Slv149VectorArray
array(natural range <> ) of slv( 80 downto 0) Slv81Array
array(natural range <> ) of slv( 78 downto 0) Slv79Array
array(natural range <> ,natural range <> ) of slv( 254 downto 0) Slv255VectorArray
array(natural range <> ,natural range <> ) of slv( 143 downto 0) Slv144VectorArray
array(natural range <> ,natural range <> ) of slv( 24 downto 0) Slv25VectorArray
array(natural range <> ) of slv( 126 downto 0) Slv127Array
array(natural range <> ,natural range <> ) of slv( 40 downto 0) Slv41VectorArray
array(natural range <> ,natural range <> ) of slv( 172 downto 0) Slv173VectorArray
array(natural range <> ) of slv( 141 downto 0) Slv142Array
array(natural range <> ) of slv( 197 downto 0) Slv198Array
array(natural range <> ) of slv( 140 downto 0) Slv141Array
array(natural range <> ) of slv( 239 downto 0) Slv240Array
array(natural range <> ) of slv( 54 downto 0) Slv55Array
array(natural range <> ) of slv( 169 downto 0) Slv170Array
array(natural range <> ) of slv( 247 downto 0) Slv248Array
array(natural range <> ) of slv( 89 downto 0) Slv90Array
array(natural range <> ,natural range <> ) of slv( 78 downto 0) Slv79VectorArray
array(natural range <> ) of slv( 73 downto 0) Slv74Array
array(natural range <> ,natural range <> ) of slv( 42 downto 0) Slv43VectorArray
array(natural range <> ) of slv( 240 downto 0) Slv241Array
array(natural range <> ,natural range <> ) of slv( 155 downto 0) Slv156VectorArray
array(natural range <> ,natural range <> ) of slv( 244 downto 0) Slv245VectorArray
array(natural range <> ) of slv( 229 downto 0) Slv230Array
natural wordCountnumber,wordSize,
array(natural range <> ) of slv( 52 downto 0) Slv53Array
array(natural range <> ,natural range <> ) of slv( 202 downto 0) Slv203VectorArray
array(natural range <> ,natural range <> ) of slv( 222 downto 0) Slv223VectorArray
array(natural range <> ,natural range <> ) of slv( 228 downto 0) Slv229VectorArray
array(natural range <> ,natural range <> ) of slv( 197 downto 0) Slv198VectorArray
array(natural range <> ,natural range <> ) of slv( 179 downto 0) Slv180VectorArray
array(natural range <> ,natural range <> ) of boolean BooleanVectorArray
array(natural range <> ) of slv( 175 downto 0) Slv176Array
array(natural range <> ) of slv( 185 downto 0) Slv186Array
array(natural range <> ,natural range <> ) of slv( 8 downto 0) Slv9VectorArray
array(natural range <> ,natural range <> ) of slv( 232 downto 0) Slv233VectorArray
array(natural range <> ) of slv( 56 downto 0) Slv57Array
array(natural range <> ,natural range <> ) of slv( 4 downto 0) Slv5VectorArray
array(natural range <> ) of slv( 90 downto 0) Slv91Array
array(natural range <> ) of slv( 150 downto 0) Slv151Array
array(natural range <> ,natural range <> ) of slv( 111 downto 0) Slv112VectorArray
array(natural range <> ,natural range <> ) of slv( 170 downto 0) Slv171VectorArray
array(natural range <> ,natural range <> ) of slv( 21 downto 0) Slv22VectorArray
array(natural range <> ,natural range <> ) of slv( 220 downto 0) Slv221VectorArray
array(natural range <> ,natural range <> ) of slv( 227 downto 0) Slv228VectorArray
array(natural range <> ) of slv( 180 downto 0) Slv181Array
array(natural range <> ) of slv( 42 downto 0) Slv43Array
array(natural range <> ) of slv( 198 downto 0) Slv199Array
array(natural range <> ,natural range <> ) of slv( 207 downto 0) Slv208VectorArray
array(natural range <> ,natural range <> ) of slv( 235 downto 0) Slv236VectorArray
array(natural range <> ,natural range <> ) of slv( 204 downto 0) Slv205VectorArray
array(natural range <> ) of slv( 170 downto 0) Slv171Array
array(natural range <> ,natural range <> ) of slv( 51 downto 0) Slv52VectorArray
array(natural range <> ,natural range <> ) of slv( 101 downto 0) Slv102VectorArray
array(natural range <> ,natural range <> ) of slv( 80 downto 0) Slv81VectorArray
array(natural range <> ,natural range <> ) of slv( 90 downto 0) Slv91VectorArray
array(natural range <> ) of slv( 7 downto 0) Slv8Array
BuildInfoType :=( others => '0') BUILD_INFO_DEFAULT_SLV_C
array(natural range <> ,natural range <> ) of slv( 229 downto 0) Slv230VectorArray
array(natural range <> ,natural range <> ) of slv( 162 downto 0) Slv163VectorArray
array(natural range <> ,natural range <> ) of slv( 241 downto 0) Slv242VectorArray
array(natural range <> ) of slv( 67 downto 0) Slv68Array
array(natural range <> ) of boolean BooleanArray
array(natural range <> ,natural range <> ) of slv( 128 downto 0) Slv129VectorArray
array(natural range <> ) of slv( 187 downto 0) Slv188Array
array(natural range <> ) of slv( 51 downto 0) Slv52Array
array(natural range <> ) of slv( 244 downto 0) Slv245Array
array(natural range <> ,natural range <> ) of slv( 186 downto 0) Slv187VectorArray
array(natural range <> ,natural range <> ) of slv( 97 downto 0) Slv98VectorArray
array(natural range <> ,natural range <> ) of slv( 168 downto 0) Slv169VectorArray
array(natural range <> ) of slv( 209 downto 0) Slv210Array
array(natural range <> ,natural range <> ) of slv( 71 downto 0) Slv72VectorArray
array(natural range <> ) of slv( 147 downto 0) Slv148Array
array(natural range <> ,natural range <> ) of slv( 23 downto 0) Slv24VectorArray
array(natural range <> ) of slv( 134 downto 0) Slv135Array
array(natural range <> ,natural range <> ) of slv( 165 downto 0) Slv166VectorArray
array(natural range <> ,natural range <> ) of slv( 138 downto 0) Slv139VectorArray
array(natural range <> ) of slv( 183 downto 0) Slv184Array
array(natural range <> ,natural range <> ) of slv( 35 downto 0) Slv36VectorArray
array(natural range <> ,natural range <> ) of slv( 15 downto 0) Slv16VectorArray
slv resizevec,newSize,pad,
array(natural range <> ) of slv( 220 downto 0) Slv221Array
array(natural range <> ,natural range <> ) of slv( 55 downto 0) Slv56VectorArray
array(natural range <> ,natural range <> ) of slv( 246 downto 0) Slv247VectorArray
array(natural range <> ) of slv( 107 downto 0) Slv108Array
array(natural range <> ) of slv( 37 downto 0) Slv38Array
array(natural range <> ) of slv( 102 downto 0) Slv103Array
array(natural range <> ,natural range <> ) of slv( 215 downto 0) Slv216VectorArray
array(natural range <> ) of integer IntegerArray
array(natural range <> ) of slv( 3 downto 0) Slv4Array
array(natural range <> ) of slv( 242 downto 0) Slv243Array
array(natural range <> ,natural range <> ) of slv( 250 downto 0) Slv251VectorArray
array(natural range <> ,natural range <> ) of slv( 2 downto 0) Slv3VectorArray
array(natural range <> ,natural range <> ) of slv( 95 downto 0) Slv96VectorArray
array(natural range <> ) of slv( 135 downto 0) Slv136Array
array(natural range <> ,natural range <> ) of slv( 132 downto 0) Slv133VectorArray
array(natural range <> ) of slv( 96 downto 0) Slv97Array
array(natural range <> ) of slv( 167 downto 0) Slv168Array
array(natural range <> ,natural range <> ) of slv( 30 downto 0) Slv31VectorArray
array(natural range <> ) of slv( 72 downto 0) Slv73Array
array(natural range <> ) of slv( 44 downto 0) Slv45Array
array(natural range <> ) of slv( 110 downto 0) Slv111Array
array(natural range <> ) of slv( 46 downto 0) Slv47Array
slv( 31 downto 0) fwVersion
array(natural range <> ,natural range <> ) of slv( 182 downto 0) Slv183VectorArray
array(natural range <> ,natural range <> ) of slv( 20 downto 0) Slv21VectorArray
BuildInfoRetType toBuildInfodin,
array(natural range <> ,natural range <> ) of slv( 87 downto 0) Slv88VectorArray
array(natural range <> ,natural range <> ) of slv( 139 downto 0) Slv140VectorArray
array(natural range <> ,natural range <> ) of slv( 214 downto 0) Slv215VectorArray
array(natural range <> ) of slv( 9 downto 0) Slv10Array
array(natural range <> ,natural range <> ) of slv( 187 downto 0) Slv188VectorArray
array(natural range <> ) of slv( 179 downto 0) Slv180Array
array(natural range <> ,natural range <> ) of slv( 41 downto 0) Slv42VectorArray
array(natural range <> ,natural range <> ) of slv( 194 downto 0) Slv195VectorArray
Slv32Array( 0 to 63) buildString
array(natural range <> ) of slv( 1 downto 0) Slv2Array
array(natural range <> ) of slv( 17 downto 0) Slv18Array
array(natural range <> ) of slv( 176 downto 0) Slv177Array
array(natural range <> ) of slv( 100 downto 0) Slv101Array
array(natural range <> ,natural range <> ) of slv( 208 downto 0) Slv209VectorArray
array(natural range <> ,natural range <> ) of slv( 231 downto 0) Slv232VectorArray
array(natural range <> ,natural range <> ) of slv( 223 downto 0) Slv224VectorArray
array(natural range <> ,natural range <> ) of slv( 123 downto 0) Slv124VectorArray
array(natural range <> ,natural range <> ) of slv( 38 downto 0) Slv39VectorArray