SURF  1.0
StdRtlPkg.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : StdRtlPkg.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2013-05-01
5 -- Last update: 2017-05-05
6 -------------------------------------------------------------------------------
7 -- Description: Standard RTL Package File
8 ------------------------------------------------------------------------------
9 -- This file is part of 'SLAC Firmware Standard Library'.
10 -- It is subject to the license terms in the LICENSE.txt file found in the
11 -- top-level directory of this distribution and at:
12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
13 -- No part of 'SLAC Firmware Standard Library', including this file,
14 -- may be copied, modified, propagated, or distributed except according to
15 -- the terms contained in the LICENSE.txt file.
16 ------------------------------------------------------------------------------
17 
18 library IEEE;
19 use IEEE.STD_LOGIC_1164.all;
20 use IEEE.NUMERIC_STD.all;
21 use ieee.math_real.all;
22 
23 package StdRtlPkg is
24 --! @file
25  --! @ingroup base_general
26 
27  -- Typing std_logic(_vector) is annoying
28  subtype sl is std_logic;
29  subtype slv is std_logic_vector;
30 
31  -- Declare arrays of built in types
32  --type SlvArray is array (natural range <>) of slv; -- not supported in VCS yet (14APRIL2014 -- LLR)
33  type IntegerArray is array (natural range <>) of integer;
34  type NaturalArray is array (natural range <>) of natural;
35  type PositiveArray is array (natural range <>) of positive;
36  type RealArray is array (natural range <>) of real;
37  type TimeArray is array (natural range <>) of time;
38  type BooleanArray is array (natural range <>) of boolean;
39 
40  -- Declare vector arrays of built in types
41  --type SlvVectorArray is array (natural range<>, natural range<>) of slv; -- not supported in VCS yet (14APRIL2014 -- LLR)
42  type IntegerVectorArray is array (natural range<>, natural range<>) of integer;
43  type NaturalVectorArray is array (natural range<>, natural range<>) of natural;
44  type PositiveVectorArray is array (natural range<>, natural range<>) of positive;
45  type RealVectorArray is array (natural range<>, natural range<>) of real;
46  type TimeVectorArray is array (natural range<>, natural range<>) of time;
47  type BooleanVectorArray is array (natural range<>, natural range<>) of boolean;
48 
49  -- Create an arbitrary sized slv with all bits set high or low
50  function slvAll (size : positive; value : sl) return slv;
51  function slvZero (size : positive) return slv;
52  function slvOne (size : positive) return slv;
53 
54  -- Very useful functions
55  function isPowerOf2 (number : natural) return boolean;
56  function isPowerOf2 (vector : slv) return boolean;
57  function log2 (constant number : integer) return natural;
58  function bitSize (constant number : natural) return positive;
59  function bitReverse (a : slv) return slv;
60  function wordCount (number : positive; wordSize : positive := 8) return natural;
61 
62  -- Similar to python's range() function
63  function list (constant start, size, step : integer) return IntegerArray;
64 
65  -- Simple decoder and mux functions
66  function decode(v : slv) return slv;
67  function genmux(s, v : slv) return sl;
68 
69  -- This should be unnecessary in VHDL 2008
70  function toBoolean (logic : sl) return boolean;
71  function toSl (bool : boolean) return sl;
72  function toString (bool : boolean) return string;
73  function toBoolean (str : string) return boolean;
74  function toSlv(bools : BooleanArray) return slv;
75 
76  -- Unary reduction operators, also unnecessary in VHDL 2008
77  function uOr (vec : slv) return sl;
78  function uAnd (vec : slv) return sl;
79  function uXor (vec : slv) return sl;
80 
81  -- Test if all bits in a vector are set to a given logic value
82  function allBits (vec : slv; test : sl) return boolean;
83  function noBits (vec : slv; test : sl) return boolean;
84 
85  -- These just use uXor to calculate parity
86  -- Output is parity bit value needed to achieve that parity given vec.
87  function evenParity (vec : slv) return sl;
88  function oddParity (vec : slv) return sl;
89 
90  -- Functions for counting the number of '1' in a slv bus
91  function onesCountU (vec : slv) return unsigned;
92  function onesCount (vec : slv) return slv;
93 
94  -- Gray Code functions
95  function grayEncode (vec : unsigned) return unsigned;
96  function grayEncode (vec : slv) return slv;
97  function grayDecode (vec : unsigned) return unsigned;
98  function grayDecode (vec : slv) return slv;
99 
100  -- Linear Feedback Shift Register function
101  function lfsrShift (lfsr : slv; constant taps : NaturalArray; input : sl := '0') return slv;
102 
103  function maximum (left, right : integer) return integer;
104  function minimum (left, right : integer) return integer;
105 
106  -- One line if-then-else functions. Useful for assigning constants based on generics.
107  function ite(i : boolean; t : boolean; e : boolean) return boolean;
108  function ite(i : boolean; t : sl; e : sl) return sl;
109  function ite(i : boolean; t : slv; e : slv) return slv;
110  function ite(i : boolean; t : bit_vector; e : bit_vector) return bit_vector;
111  function ite(i : boolean; t : character; e : character) return character;
112  function ite(i : boolean; t : string; e : string) return string;
113  function ite(i : boolean; t : integer; e : integer) return integer;
114  function ite(i : boolean; t : real; e : real) return real;
115  function ite(i : boolean; t : time; e : time) return time;
116 
117  -- conv_std_logic_vector functions
118  function toSlv(ARG : integer; SIZE : integer) return slv;
119 
120  -- gets real multiplication and division with integers
121  function "*" (L : integer; R : real) return real;
122  function "*" (L : real; R : integer) return real;
123  function "/" (L : integer; R : real) return real;
124  function "/" (L : real; R : integer) return real;
125 
126  function adcConversion (ain : real; low : real; high : real; bits : positive; twosComp : boolean) return slv;
127 
128  --gets a time ratio
129  function getTimeRatio (T1, T2 : time) return natural; --not supported by Vivado
130  function getTimeRatio (T1, T2 : real) return natural;
131 
132  procedure assignSlv (i : inout integer; vector : inout slv; value : in slv);
133  procedure assignSlv (i : inout integer; vector : inout slv; value : in sl);
134  procedure assignRecord (i : inout integer; vector : in slv; value : inout slv);
135  procedure assignRecord (i : inout integer; vector : in slv; value : inout sl);
136 
137  -- Resize vector types, either by trimming or padding upper indicies
138  function resize (vec : slv; newSize : integer; pad : sl := '0') return slv;
139  function resize (str : string; newSize : integer; pad : character := nul) return string;
140 
141  -- Some synthesis tools wont accept unit types
142  -- pragma translate_off
143  type frequency is range 0 to 2147483647
144  units
145  Hz;
146  kHz = 1000 Hz;
147  MHz = 1000 kHz;
148  GHz = 1000 MHz;
149  end units;
150 
151  function toTime(f : frequency) return time;
152  -- pragma translate_on
153 
154  -- Add more slv array sizes here as they become needed
155  type Slv256Array is array (natural range <>) of slv(255 downto 0);
156  type Slv255Array is array (natural range <>) of slv(254 downto 0);
157  type Slv254Array is array (natural range <>) of slv(253 downto 0);
158  type Slv253Array is array (natural range <>) of slv(252 downto 0);
159  type Slv252Array is array (natural range <>) of slv(251 downto 0);
160  type Slv251Array is array (natural range <>) of slv(250 downto 0);
161  type Slv250Array is array (natural range <>) of slv(249 downto 0);
162  type Slv249Array is array (natural range <>) of slv(248 downto 0);
163  type Slv248Array is array (natural range <>) of slv(247 downto 0);
164  type Slv247Array is array (natural range <>) of slv(246 downto 0);
165  type Slv246Array is array (natural range <>) of slv(245 downto 0);
166  type Slv245Array is array (natural range <>) of slv(244 downto 0);
167  type Slv244Array is array (natural range <>) of slv(243 downto 0);
168  type Slv243Array is array (natural range <>) of slv(242 downto 0);
169  type Slv242Array is array (natural range <>) of slv(241 downto 0);
170  type Slv241Array is array (natural range <>) of slv(240 downto 0);
171  type Slv240Array is array (natural range <>) of slv(239 downto 0);
172  type Slv239Array is array (natural range <>) of slv(238 downto 0);
173  type Slv238Array is array (natural range <>) of slv(237 downto 0);
174  type Slv237Array is array (natural range <>) of slv(236 downto 0);
175  type Slv236Array is array (natural range <>) of slv(235 downto 0);
176  type Slv235Array is array (natural range <>) of slv(234 downto 0);
177  type Slv234Array is array (natural range <>) of slv(233 downto 0);
178  type Slv233Array is array (natural range <>) of slv(232 downto 0);
179  type Slv232Array is array (natural range <>) of slv(231 downto 0);
180  type Slv231Array is array (natural range <>) of slv(230 downto 0);
181  type Slv230Array is array (natural range <>) of slv(229 downto 0);
182  type Slv229Array is array (natural range <>) of slv(228 downto 0);
183  type Slv228Array is array (natural range <>) of slv(227 downto 0);
184  type Slv227Array is array (natural range <>) of slv(226 downto 0);
185  type Slv226Array is array (natural range <>) of slv(225 downto 0);
186  type Slv225Array is array (natural range <>) of slv(224 downto 0);
187  type Slv224Array is array (natural range <>) of slv(223 downto 0);
188  type Slv223Array is array (natural range <>) of slv(222 downto 0);
189  type Slv222Array is array (natural range <>) of slv(221 downto 0);
190  type Slv221Array is array (natural range <>) of slv(220 downto 0);
191  type Slv220Array is array (natural range <>) of slv(219 downto 0);
192  type Slv219Array is array (natural range <>) of slv(218 downto 0);
193  type Slv218Array is array (natural range <>) of slv(217 downto 0);
194  type Slv217Array is array (natural range <>) of slv(216 downto 0);
195  type Slv216Array is array (natural range <>) of slv(215 downto 0);
196  type Slv215Array is array (natural range <>) of slv(214 downto 0);
197  type Slv214Array is array (natural range <>) of slv(213 downto 0);
198  type Slv213Array is array (natural range <>) of slv(212 downto 0);
199  type Slv212Array is array (natural range <>) of slv(211 downto 0);
200  type Slv211Array is array (natural range <>) of slv(210 downto 0);
201  type Slv210Array is array (natural range <>) of slv(209 downto 0);
202  type Slv209Array is array (natural range <>) of slv(208 downto 0);
203  type Slv208Array is array (natural range <>) of slv(207 downto 0);
204  type Slv207Array is array (natural range <>) of slv(206 downto 0);
205  type Slv206Array is array (natural range <>) of slv(205 downto 0);
206  type Slv205Array is array (natural range <>) of slv(204 downto 0);
207  type Slv204Array is array (natural range <>) of slv(203 downto 0);
208  type Slv203Array is array (natural range <>) of slv(202 downto 0);
209  type Slv202Array is array (natural range <>) of slv(201 downto 0);
210  type Slv201Array is array (natural range <>) of slv(200 downto 0);
211  type Slv200Array is array (natural range <>) of slv(199 downto 0);
212  type Slv199Array is array (natural range <>) of slv(198 downto 0);
213  type Slv198Array is array (natural range <>) of slv(197 downto 0);
214  type Slv197Array is array (natural range <>) of slv(196 downto 0);
215  type Slv196Array is array (natural range <>) of slv(195 downto 0);
216  type Slv195Array is array (natural range <>) of slv(194 downto 0);
217  type Slv194Array is array (natural range <>) of slv(193 downto 0);
218  type Slv193Array is array (natural range <>) of slv(192 downto 0);
219  type Slv192Array is array (natural range <>) of slv(191 downto 0);
220  type Slv191Array is array (natural range <>) of slv(190 downto 0);
221  type Slv190Array is array (natural range <>) of slv(189 downto 0);
222  type Slv189Array is array (natural range <>) of slv(188 downto 0);
223  type Slv188Array is array (natural range <>) of slv(187 downto 0);
224  type Slv187Array is array (natural range <>) of slv(186 downto 0);
225  type Slv186Array is array (natural range <>) of slv(185 downto 0);
226  type Slv185Array is array (natural range <>) of slv(184 downto 0);
227  type Slv184Array is array (natural range <>) of slv(183 downto 0);
228  type Slv183Array is array (natural range <>) of slv(182 downto 0);
229  type Slv182Array is array (natural range <>) of slv(181 downto 0);
230  type Slv181Array is array (natural range <>) of slv(180 downto 0);
231  type Slv180Array is array (natural range <>) of slv(179 downto 0);
232  type Slv179Array is array (natural range <>) of slv(178 downto 0);
233  type Slv178Array is array (natural range <>) of slv(177 downto 0);
234  type Slv177Array is array (natural range <>) of slv(176 downto 0);
235  type Slv176Array is array (natural range <>) of slv(175 downto 0);
236  type Slv175Array is array (natural range <>) of slv(174 downto 0);
237  type Slv174Array is array (natural range <>) of slv(173 downto 0);
238  type Slv173Array is array (natural range <>) of slv(172 downto 0);
239  type Slv172Array is array (natural range <>) of slv(171 downto 0);
240  type Slv171Array is array (natural range <>) of slv(170 downto 0);
241  type Slv170Array is array (natural range <>) of slv(169 downto 0);
242  type Slv169Array is array (natural range <>) of slv(168 downto 0);
243  type Slv168Array is array (natural range <>) of slv(167 downto 0);
244  type Slv167Array is array (natural range <>) of slv(166 downto 0);
245  type Slv166Array is array (natural range <>) of slv(165 downto 0);
246  type Slv165Array is array (natural range <>) of slv(164 downto 0);
247  type Slv164Array is array (natural range <>) of slv(163 downto 0);
248  type Slv163Array is array (natural range <>) of slv(162 downto 0);
249  type Slv162Array is array (natural range <>) of slv(161 downto 0);
250  type Slv161Array is array (natural range <>) of slv(160 downto 0);
251  type Slv160Array is array (natural range <>) of slv(159 downto 0);
252  type Slv159Array is array (natural range <>) of slv(158 downto 0);
253  type Slv158Array is array (natural range <>) of slv(157 downto 0);
254  type Slv157Array is array (natural range <>) of slv(156 downto 0);
255  type Slv156Array is array (natural range <>) of slv(155 downto 0);
256  type Slv155Array is array (natural range <>) of slv(154 downto 0);
257  type Slv154Array is array (natural range <>) of slv(153 downto 0);
258  type Slv153Array is array (natural range <>) of slv(152 downto 0);
259  type Slv152Array is array (natural range <>) of slv(151 downto 0);
260  type Slv151Array is array (natural range <>) of slv(150 downto 0);
261  type Slv150Array is array (natural range <>) of slv(149 downto 0);
262  type Slv149Array is array (natural range <>) of slv(148 downto 0);
263  type Slv148Array is array (natural range <>) of slv(147 downto 0);
264  type Slv147Array is array (natural range <>) of slv(146 downto 0);
265  type Slv146Array is array (natural range <>) of slv(145 downto 0);
266  type Slv145Array is array (natural range <>) of slv(144 downto 0);
267  type Slv144Array is array (natural range <>) of slv(143 downto 0);
268  type Slv143Array is array (natural range <>) of slv(142 downto 0);
269  type Slv142Array is array (natural range <>) of slv(141 downto 0);
270  type Slv141Array is array (natural range <>) of slv(140 downto 0);
271  type Slv140Array is array (natural range <>) of slv(139 downto 0);
272  type Slv139Array is array (natural range <>) of slv(138 downto 0);
273  type Slv138Array is array (natural range <>) of slv(137 downto 0);
274  type Slv137Array is array (natural range <>) of slv(136 downto 0);
275  type Slv136Array is array (natural range <>) of slv(135 downto 0);
276  type Slv135Array is array (natural range <>) of slv(134 downto 0);
277  type Slv134Array is array (natural range <>) of slv(133 downto 0);
278  type Slv133Array is array (natural range <>) of slv(132 downto 0);
279  type Slv132Array is array (natural range <>) of slv(131 downto 0);
280  type Slv131Array is array (natural range <>) of slv(130 downto 0);
281  type Slv130Array is array (natural range <>) of slv(129 downto 0);
282  type Slv129Array is array (natural range <>) of slv(128 downto 0);
283  type Slv128Array is array (natural range <>) of slv(127 downto 0);
284  type Slv127Array is array (natural range <>) of slv(126 downto 0);
285  type Slv126Array is array (natural range <>) of slv(125 downto 0);
286  type Slv125Array is array (natural range <>) of slv(124 downto 0);
287  type Slv124Array is array (natural range <>) of slv(123 downto 0);
288  type Slv123Array is array (natural range <>) of slv(122 downto 0);
289  type Slv122Array is array (natural range <>) of slv(121 downto 0);
290  type Slv121Array is array (natural range <>) of slv(120 downto 0);
291  type Slv120Array is array (natural range <>) of slv(119 downto 0);
292  type Slv119Array is array (natural range <>) of slv(118 downto 0);
293  type Slv118Array is array (natural range <>) of slv(117 downto 0);
294  type Slv117Array is array (natural range <>) of slv(116 downto 0);
295  type Slv116Array is array (natural range <>) of slv(115 downto 0);
296  type Slv115Array is array (natural range <>) of slv(114 downto 0);
297  type Slv114Array is array (natural range <>) of slv(113 downto 0);
298  type Slv113Array is array (natural range <>) of slv(112 downto 0);
299  type Slv112Array is array (natural range <>) of slv(111 downto 0);
300  type Slv111Array is array (natural range <>) of slv(110 downto 0);
301  type Slv110Array is array (natural range <>) of slv(109 downto 0);
302  type Slv109Array is array (natural range <>) of slv(108 downto 0);
303  type Slv108Array is array (natural range <>) of slv(107 downto 0);
304  type Slv107Array is array (natural range <>) of slv(106 downto 0);
305  type Slv106Array is array (natural range <>) of slv(105 downto 0);
306  type Slv105Array is array (natural range <>) of slv(104 downto 0);
307  type Slv104Array is array (natural range <>) of slv(103 downto 0);
308  type Slv103Array is array (natural range <>) of slv(102 downto 0);
309  type Slv102Array is array (natural range <>) of slv(101 downto 0);
310  type Slv101Array is array (natural range <>) of slv(100 downto 0);
311  type Slv100Array is array (natural range <>) of slv(99 downto 0);
312  type Slv99Array is array (natural range <>) of slv(98 downto 0);
313  type Slv98Array is array (natural range <>) of slv(97 downto 0);
314  type Slv97Array is array (natural range <>) of slv(96 downto 0);
315  type Slv96Array is array (natural range <>) of slv(95 downto 0);
316  type Slv95Array is array (natural range <>) of slv(94 downto 0);
317  type Slv94Array is array (natural range <>) of slv(93 downto 0);
318  type Slv93Array is array (natural range <>) of slv(92 downto 0);
319  type Slv92Array is array (natural range <>) of slv(91 downto 0);
320  type Slv91Array is array (natural range <>) of slv(90 downto 0);
321  type Slv90Array is array (natural range <>) of slv(89 downto 0);
322  type Slv89Array is array (natural range <>) of slv(88 downto 0);
323  type Slv88Array is array (natural range <>) of slv(87 downto 0);
324  type Slv87Array is array (natural range <>) of slv(86 downto 0);
325  type Slv86Array is array (natural range <>) of slv(85 downto 0);
326  type Slv85Array is array (natural range <>) of slv(84 downto 0);
327  type Slv84Array is array (natural range <>) of slv(83 downto 0);
328  type Slv83Array is array (natural range <>) of slv(82 downto 0);
329  type Slv82Array is array (natural range <>) of slv(81 downto 0);
330  type Slv81Array is array (natural range <>) of slv(80 downto 0);
331  type Slv80Array is array (natural range <>) of slv(79 downto 0);
332  type Slv79Array is array (natural range <>) of slv(78 downto 0);
333  type Slv78Array is array (natural range <>) of slv(77 downto 0);
334  type Slv77Array is array (natural range <>) of slv(76 downto 0);
335  type Slv76Array is array (natural range <>) of slv(75 downto 0);
336  type Slv75Array is array (natural range <>) of slv(74 downto 0);
337  type Slv74Array is array (natural range <>) of slv(73 downto 0);
338  type Slv73Array is array (natural range <>) of slv(72 downto 0);
339  type Slv72Array is array (natural range <>) of slv(71 downto 0);
340  type Slv71Array is array (natural range <>) of slv(70 downto 0);
341  type Slv70Array is array (natural range <>) of slv(69 downto 0);
342  type Slv69Array is array (natural range <>) of slv(68 downto 0);
343  type Slv68Array is array (natural range <>) of slv(67 downto 0);
344  type Slv67Array is array (natural range <>) of slv(66 downto 0);
345  type Slv66Array is array (natural range <>) of slv(65 downto 0);
346  type Slv65Array is array (natural range <>) of slv(64 downto 0);
347  type Slv64Array is array (natural range <>) of slv(63 downto 0);
348  type Slv63Array is array (natural range <>) of slv(62 downto 0);
349  type Slv62Array is array (natural range <>) of slv(61 downto 0);
350  type Slv61Array is array (natural range <>) of slv(60 downto 0);
351  type Slv60Array is array (natural range <>) of slv(59 downto 0);
352  type Slv59Array is array (natural range <>) of slv(58 downto 0);
353  type Slv58Array is array (natural range <>) of slv(57 downto 0);
354  type Slv57Array is array (natural range <>) of slv(56 downto 0);
355  type Slv56Array is array (natural range <>) of slv(55 downto 0);
356  type Slv55Array is array (natural range <>) of slv(54 downto 0);
357  type Slv54Array is array (natural range <>) of slv(53 downto 0);
358  type Slv53Array is array (natural range <>) of slv(52 downto 0);
359  type Slv52Array is array (natural range <>) of slv(51 downto 0);
360  type Slv51Array is array (natural range <>) of slv(50 downto 0);
361  type Slv50Array is array (natural range <>) of slv(49 downto 0);
362  type Slv49Array is array (natural range <>) of slv(48 downto 0);
363  type Slv48Array is array (natural range <>) of slv(47 downto 0);
364  type Slv47Array is array (natural range <>) of slv(46 downto 0);
365  type Slv46Array is array (natural range <>) of slv(45 downto 0);
366  type Slv45Array is array (natural range <>) of slv(44 downto 0);
367  type Slv44Array is array (natural range <>) of slv(43 downto 0);
368  type Slv43Array is array (natural range <>) of slv(42 downto 0);
369  type Slv42Array is array (natural range <>) of slv(41 downto 0);
370  type Slv41Array is array (natural range <>) of slv(40 downto 0);
371  type Slv40Array is array (natural range <>) of slv(39 downto 0);
372  type Slv39Array is array (natural range <>) of slv(38 downto 0);
373  type Slv38Array is array (natural range <>) of slv(37 downto 0);
374  type Slv37Array is array (natural range <>) of slv(36 downto 0);
375  type Slv36Array is array (natural range <>) of slv(35 downto 0);
376  type Slv35Array is array (natural range <>) of slv(34 downto 0);
377  type Slv34Array is array (natural range <>) of slv(33 downto 0);
378  type Slv33Array is array (natural range <>) of slv(32 downto 0);
379  type Slv32Array is array (natural range <>) of slv(31 downto 0);
380  type Slv31Array is array (natural range <>) of slv(30 downto 0);
381  type Slv30Array is array (natural range <>) of slv(29 downto 0);
382  type Slv29Array is array (natural range <>) of slv(28 downto 0);
383  type Slv28Array is array (natural range <>) of slv(27 downto 0);
384  type Slv27Array is array (natural range <>) of slv(26 downto 0);
385  type Slv26Array is array (natural range <>) of slv(25 downto 0);
386  type Slv25Array is array (natural range <>) of slv(24 downto 0);
387  type Slv24Array is array (natural range <>) of slv(23 downto 0);
388  type Slv23Array is array (natural range <>) of slv(22 downto 0);
389  type Slv22Array is array (natural range <>) of slv(21 downto 0);
390  type Slv21Array is array (natural range <>) of slv(20 downto 0);
391  type Slv20Array is array (natural range <>) of slv(19 downto 0);
392  type Slv19Array is array (natural range <>) of slv(18 downto 0);
393  type Slv18Array is array (natural range <>) of slv(17 downto 0);
394  type Slv17Array is array (natural range <>) of slv(16 downto 0);
395  type Slv16Array is array (natural range <>) of slv(15 downto 0);
396  type Slv15Array is array (natural range <>) of slv(14 downto 0);
397  type Slv14Array is array (natural range <>) of slv(13 downto 0);
398  type Slv13Array is array (natural range <>) of slv(12 downto 0);
399  type Slv12Array is array (natural range <>) of slv(11 downto 0);
400  type Slv11Array is array (natural range <>) of slv(10 downto 0);
401  type Slv10Array is array (natural range <>) of slv(9 downto 0);
402  type Slv9Array is array (natural range <>) of slv(8 downto 0);
403  type Slv8Array is array (natural range <>) of slv(7 downto 0);
404  type Slv7Array is array (natural range <>) of slv(6 downto 0);
405  type Slv6Array is array (natural range <>) of slv(5 downto 0);
406  type Slv5Array is array (natural range <>) of slv(4 downto 0);
407  type Slv4Array is array (natural range <>) of slv(3 downto 0);
408  type Slv3Array is array (natural range <>) of slv(2 downto 0);
409  type Slv2Array is array (natural range <>) of slv(1 downto 0);
410  type Slv1Array is array (natural range <>) of slv(0 downto 0);
411 
412  -- Add more slv vector array sizes here as they become needed
413  type Slv256VectorArray is array (natural range<>, natural range<>) of slv(255 downto 0);
414  type Slv255VectorArray is array (natural range<>, natural range<>) of slv(254 downto 0);
415  type Slv254VectorArray is array (natural range<>, natural range<>) of slv(253 downto 0);
416  type Slv253VectorArray is array (natural range<>, natural range<>) of slv(252 downto 0);
417  type Slv252VectorArray is array (natural range<>, natural range<>) of slv(251 downto 0);
418  type Slv251VectorArray is array (natural range<>, natural range<>) of slv(250 downto 0);
419  type Slv250VectorArray is array (natural range<>, natural range<>) of slv(249 downto 0);
420  type Slv249VectorArray is array (natural range<>, natural range<>) of slv(248 downto 0);
421  type Slv248VectorArray is array (natural range<>, natural range<>) of slv(247 downto 0);
422  type Slv247VectorArray is array (natural range<>, natural range<>) of slv(246 downto 0);
423  type Slv246VectorArray is array (natural range<>, natural range<>) of slv(245 downto 0);
424  type Slv245VectorArray is array (natural range<>, natural range<>) of slv(244 downto 0);
425  type Slv244VectorArray is array (natural range<>, natural range<>) of slv(243 downto 0);
426  type Slv243VectorArray is array (natural range<>, natural range<>) of slv(242 downto 0);
427  type Slv242VectorArray is array (natural range<>, natural range<>) of slv(241 downto 0);
428  type Slv241VectorArray is array (natural range<>, natural range<>) of slv(240 downto 0);
429  type Slv240VectorArray is array (natural range<>, natural range<>) of slv(239 downto 0);
430  type Slv239VectorArray is array (natural range<>, natural range<>) of slv(238 downto 0);
431  type Slv238VectorArray is array (natural range<>, natural range<>) of slv(237 downto 0);
432  type Slv237VectorArray is array (natural range<>, natural range<>) of slv(236 downto 0);
433  type Slv236VectorArray is array (natural range<>, natural range<>) of slv(235 downto 0);
434  type Slv235VectorArray is array (natural range<>, natural range<>) of slv(234 downto 0);
435  type Slv234VectorArray is array (natural range<>, natural range<>) of slv(233 downto 0);
436  type Slv233VectorArray is array (natural range<>, natural range<>) of slv(232 downto 0);
437  type Slv232VectorArray is array (natural range<>, natural range<>) of slv(231 downto 0);
438  type Slv231VectorArray is array (natural range<>, natural range<>) of slv(230 downto 0);
439  type Slv230VectorArray is array (natural range<>, natural range<>) of slv(229 downto 0);
440  type Slv229VectorArray is array (natural range<>, natural range<>) of slv(228 downto 0);
441  type Slv228VectorArray is array (natural range<>, natural range<>) of slv(227 downto 0);
442  type Slv227VectorArray is array (natural range<>, natural range<>) of slv(226 downto 0);
443  type Slv226VectorArray is array (natural range<>, natural range<>) of slv(225 downto 0);
444  type Slv225VectorArray is array (natural range<>, natural range<>) of slv(224 downto 0);
445  type Slv224VectorArray is array (natural range<>, natural range<>) of slv(223 downto 0);
446  type Slv223VectorArray is array (natural range<>, natural range<>) of slv(222 downto 0);
447  type Slv222VectorArray is array (natural range<>, natural range<>) of slv(221 downto 0);
448  type Slv221VectorArray is array (natural range<>, natural range<>) of slv(220 downto 0);
449  type Slv220VectorArray is array (natural range<>, natural range<>) of slv(219 downto 0);
450  type Slv219VectorArray is array (natural range<>, natural range<>) of slv(218 downto 0);
451  type Slv218VectorArray is array (natural range<>, natural range<>) of slv(217 downto 0);
452  type Slv217VectorArray is array (natural range<>, natural range<>) of slv(216 downto 0);
453  type Slv216VectorArray is array (natural range<>, natural range<>) of slv(215 downto 0);
454  type Slv215VectorArray is array (natural range<>, natural range<>) of slv(214 downto 0);
455  type Slv214VectorArray is array (natural range<>, natural range<>) of slv(213 downto 0);
456  type Slv213VectorArray is array (natural range<>, natural range<>) of slv(212 downto 0);
457  type Slv212VectorArray is array (natural range<>, natural range<>) of slv(211 downto 0);
458  type Slv211VectorArray is array (natural range<>, natural range<>) of slv(210 downto 0);
459  type Slv210VectorArray is array (natural range<>, natural range<>) of slv(209 downto 0);
460  type Slv209VectorArray is array (natural range<>, natural range<>) of slv(208 downto 0);
461  type Slv208VectorArray is array (natural range<>, natural range<>) of slv(207 downto 0);
462  type Slv207VectorArray is array (natural range<>, natural range<>) of slv(206 downto 0);
463  type Slv206VectorArray is array (natural range<>, natural range<>) of slv(205 downto 0);
464  type Slv205VectorArray is array (natural range<>, natural range<>) of slv(204 downto 0);
465  type Slv204VectorArray is array (natural range<>, natural range<>) of slv(203 downto 0);
466  type Slv203VectorArray is array (natural range<>, natural range<>) of slv(202 downto 0);
467  type Slv202VectorArray is array (natural range<>, natural range<>) of slv(201 downto 0);
468  type Slv201VectorArray is array (natural range<>, natural range<>) of slv(200 downto 0);
469  type Slv200VectorArray is array (natural range<>, natural range<>) of slv(199 downto 0);
470  type Slv199VectorArray is array (natural range<>, natural range<>) of slv(198 downto 0);
471  type Slv198VectorArray is array (natural range<>, natural range<>) of slv(197 downto 0);
472  type Slv197VectorArray is array (natural range<>, natural range<>) of slv(196 downto 0);
473  type Slv196VectorArray is array (natural range<>, natural range<>) of slv(195 downto 0);
474  type Slv195VectorArray is array (natural range<>, natural range<>) of slv(194 downto 0);
475  type Slv194VectorArray is array (natural range<>, natural range<>) of slv(193 downto 0);
476  type Slv193VectorArray is array (natural range<>, natural range<>) of slv(192 downto 0);
477  type Slv192VectorArray is array (natural range<>, natural range<>) of slv(191 downto 0);
478  type Slv191VectorArray is array (natural range<>, natural range<>) of slv(190 downto 0);
479  type Slv190VectorArray is array (natural range<>, natural range<>) of slv(189 downto 0);
480  type Slv189VectorArray is array (natural range<>, natural range<>) of slv(188 downto 0);
481  type Slv188VectorArray is array (natural range<>, natural range<>) of slv(187 downto 0);
482  type Slv187VectorArray is array (natural range<>, natural range<>) of slv(186 downto 0);
483  type Slv186VectorArray is array (natural range<>, natural range<>) of slv(185 downto 0);
484  type Slv185VectorArray is array (natural range<>, natural range<>) of slv(184 downto 0);
485  type Slv184VectorArray is array (natural range<>, natural range<>) of slv(183 downto 0);
486  type Slv183VectorArray is array (natural range<>, natural range<>) of slv(182 downto 0);
487  type Slv182VectorArray is array (natural range<>, natural range<>) of slv(181 downto 0);
488  type Slv181VectorArray is array (natural range<>, natural range<>) of slv(180 downto 0);
489  type Slv180VectorArray is array (natural range<>, natural range<>) of slv(179 downto 0);
490  type Slv179VectorArray is array (natural range<>, natural range<>) of slv(178 downto 0);
491  type Slv178VectorArray is array (natural range<>, natural range<>) of slv(177 downto 0);
492  type Slv177VectorArray is array (natural range<>, natural range<>) of slv(176 downto 0);
493  type Slv176VectorArray is array (natural range<>, natural range<>) of slv(175 downto 0);
494  type Slv175VectorArray is array (natural range<>, natural range<>) of slv(174 downto 0);
495  type Slv174VectorArray is array (natural range<>, natural range<>) of slv(173 downto 0);
496  type Slv173VectorArray is array (natural range<>, natural range<>) of slv(172 downto 0);
497  type Slv172VectorArray is array (natural range<>, natural range<>) of slv(171 downto 0);
498  type Slv171VectorArray is array (natural range<>, natural range<>) of slv(170 downto 0);
499  type Slv170VectorArray is array (natural range<>, natural range<>) of slv(169 downto 0);
500  type Slv169VectorArray is array (natural range<>, natural range<>) of slv(168 downto 0);
501  type Slv168VectorArray is array (natural range<>, natural range<>) of slv(167 downto 0);
502  type Slv167VectorArray is array (natural range<>, natural range<>) of slv(166 downto 0);
503  type Slv166VectorArray is array (natural range<>, natural range<>) of slv(165 downto 0);
504  type Slv165VectorArray is array (natural range<>, natural range<>) of slv(164 downto 0);
505  type Slv164VectorArray is array (natural range<>, natural range<>) of slv(163 downto 0);
506  type Slv163VectorArray is array (natural range<>, natural range<>) of slv(162 downto 0);
507  type Slv162VectorArray is array (natural range<>, natural range<>) of slv(161 downto 0);
508  type Slv161VectorArray is array (natural range<>, natural range<>) of slv(160 downto 0);
509  type Slv160VectorArray is array (natural range<>, natural range<>) of slv(159 downto 0);
510  type Slv159VectorArray is array (natural range<>, natural range<>) of slv(158 downto 0);
511  type Slv158VectorArray is array (natural range<>, natural range<>) of slv(157 downto 0);
512  type Slv157VectorArray is array (natural range<>, natural range<>) of slv(156 downto 0);
513  type Slv156VectorArray is array (natural range<>, natural range<>) of slv(155 downto 0);
514  type Slv155VectorArray is array (natural range<>, natural range<>) of slv(154 downto 0);
515  type Slv154VectorArray is array (natural range<>, natural range<>) of slv(153 downto 0);
516  type Slv153VectorArray is array (natural range<>, natural range<>) of slv(152 downto 0);
517  type Slv152VectorArray is array (natural range<>, natural range<>) of slv(151 downto 0);
518  type Slv151VectorArray is array (natural range<>, natural range<>) of slv(150 downto 0);
519  type Slv150VectorArray is array (natural range<>, natural range<>) of slv(149 downto 0);
520  type Slv149VectorArray is array (natural range<>, natural range<>) of slv(148 downto 0);
521  type Slv148VectorArray is array (natural range<>, natural range<>) of slv(147 downto 0);
522  type Slv147VectorArray is array (natural range<>, natural range<>) of slv(146 downto 0);
523  type Slv146VectorArray is array (natural range<>, natural range<>) of slv(145 downto 0);
524  type Slv145VectorArray is array (natural range<>, natural range<>) of slv(144 downto 0);
525  type Slv144VectorArray is array (natural range<>, natural range<>) of slv(143 downto 0);
526  type Slv143VectorArray is array (natural range<>, natural range<>) of slv(142 downto 0);
527  type Slv142VectorArray is array (natural range<>, natural range<>) of slv(141 downto 0);
528  type Slv141VectorArray is array (natural range<>, natural range<>) of slv(140 downto 0);
529  type Slv140VectorArray is array (natural range<>, natural range<>) of slv(139 downto 0);
530  type Slv139VectorArray is array (natural range<>, natural range<>) of slv(138 downto 0);
531  type Slv138VectorArray is array (natural range<>, natural range<>) of slv(137 downto 0);
532  type Slv137VectorArray is array (natural range<>, natural range<>) of slv(136 downto 0);
533  type Slv136VectorArray is array (natural range<>, natural range<>) of slv(135 downto 0);
534  type Slv135VectorArray is array (natural range<>, natural range<>) of slv(134 downto 0);
535  type Slv134VectorArray is array (natural range<>, natural range<>) of slv(133 downto 0);
536  type Slv133VectorArray is array (natural range<>, natural range<>) of slv(132 downto 0);
537  type Slv132VectorArray is array (natural range<>, natural range<>) of slv(131 downto 0);
538  type Slv131VectorArray is array (natural range<>, natural range<>) of slv(130 downto 0);
539  type Slv130VectorArray is array (natural range<>, natural range<>) of slv(129 downto 0);
540  type Slv129VectorArray is array (natural range<>, natural range<>) of slv(128 downto 0);
541  type Slv128VectorArray is array (natural range<>, natural range<>) of slv(127 downto 0);
542  type Slv127VectorArray is array (natural range<>, natural range<>) of slv(126 downto 0);
543  type Slv126VectorArray is array (natural range<>, natural range<>) of slv(125 downto 0);
544  type Slv125VectorArray is array (natural range<>, natural range<>) of slv(124 downto 0);
545  type Slv124VectorArray is array (natural range<>, natural range<>) of slv(123 downto 0);
546  type Slv123VectorArray is array (natural range<>, natural range<>) of slv(122 downto 0);
547  type Slv122VectorArray is array (natural range<>, natural range<>) of slv(121 downto 0);
548  type Slv121VectorArray is array (natural range<>, natural range<>) of slv(120 downto 0);
549  type Slv120VectorArray is array (natural range<>, natural range<>) of slv(119 downto 0);
550  type Slv119VectorArray is array (natural range<>, natural range<>) of slv(118 downto 0);
551  type Slv118VectorArray is array (natural range<>, natural range<>) of slv(117 downto 0);
552  type Slv117VectorArray is array (natural range<>, natural range<>) of slv(116 downto 0);
553  type Slv116VectorArray is array (natural range<>, natural range<>) of slv(115 downto 0);
554  type Slv115VectorArray is array (natural range<>, natural range<>) of slv(114 downto 0);
555  type Slv114VectorArray is array (natural range<>, natural range<>) of slv(113 downto 0);
556  type Slv113VectorArray is array (natural range<>, natural range<>) of slv(112 downto 0);
557  type Slv112VectorArray is array (natural range<>, natural range<>) of slv(111 downto 0);
558  type Slv111VectorArray is array (natural range<>, natural range<>) of slv(110 downto 0);
559  type Slv110VectorArray is array (natural range<>, natural range<>) of slv(109 downto 0);
560  type Slv109VectorArray is array (natural range<>, natural range<>) of slv(108 downto 0);
561  type Slv108VectorArray is array (natural range<>, natural range<>) of slv(107 downto 0);
562  type Slv107VectorArray is array (natural range<>, natural range<>) of slv(106 downto 0);
563  type Slv106VectorArray is array (natural range<>, natural range<>) of slv(105 downto 0);
564  type Slv105VectorArray is array (natural range<>, natural range<>) of slv(104 downto 0);
565  type Slv104VectorArray is array (natural range<>, natural range<>) of slv(103 downto 0);
566  type Slv103VectorArray is array (natural range<>, natural range<>) of slv(102 downto 0);
567  type Slv102VectorArray is array (natural range<>, natural range<>) of slv(101 downto 0);
568  type Slv101VectorArray is array (natural range<>, natural range<>) of slv(100 downto 0);
569  type Slv100VectorArray is array (natural range<>, natural range<>) of slv(99 downto 0);
570  type Slv99VectorArray is array (natural range<>, natural range<>) of slv(98 downto 0);
571  type Slv98VectorArray is array (natural range<>, natural range<>) of slv(97 downto 0);
572  type Slv97VectorArray is array (natural range<>, natural range<>) of slv(96 downto 0);
573  type Slv96VectorArray is array (natural range<>, natural range<>) of slv(95 downto 0);
574  type Slv95VectorArray is array (natural range<>, natural range<>) of slv(94 downto 0);
575  type Slv94VectorArray is array (natural range<>, natural range<>) of slv(93 downto 0);
576  type Slv93VectorArray is array (natural range<>, natural range<>) of slv(92 downto 0);
577  type Slv92VectorArray is array (natural range<>, natural range<>) of slv(91 downto 0);
578  type Slv91VectorArray is array (natural range<>, natural range<>) of slv(90 downto 0);
579  type Slv90VectorArray is array (natural range<>, natural range<>) of slv(89 downto 0);
580  type Slv89VectorArray is array (natural range<>, natural range<>) of slv(88 downto 0);
581  type Slv88VectorArray is array (natural range<>, natural range<>) of slv(87 downto 0);
582  type Slv87VectorArray is array (natural range<>, natural range<>) of slv(86 downto 0);
583  type Slv86VectorArray is array (natural range<>, natural range<>) of slv(85 downto 0);
584  type Slv85VectorArray is array (natural range<>, natural range<>) of slv(84 downto 0);
585  type Slv84VectorArray is array (natural range<>, natural range<>) of slv(83 downto 0);
586  type Slv83VectorArray is array (natural range<>, natural range<>) of slv(82 downto 0);
587  type Slv82VectorArray is array (natural range<>, natural range<>) of slv(81 downto 0);
588  type Slv81VectorArray is array (natural range<>, natural range<>) of slv(80 downto 0);
589  type Slv80VectorArray is array (natural range<>, natural range<>) of slv(79 downto 0);
590  type Slv79VectorArray is array (natural range<>, natural range<>) of slv(78 downto 0);
591  type Slv78VectorArray is array (natural range<>, natural range<>) of slv(77 downto 0);
592  type Slv77VectorArray is array (natural range<>, natural range<>) of slv(76 downto 0);
593  type Slv76VectorArray is array (natural range<>, natural range<>) of slv(75 downto 0);
594  type Slv75VectorArray is array (natural range<>, natural range<>) of slv(74 downto 0);
595  type Slv74VectorArray is array (natural range<>, natural range<>) of slv(73 downto 0);
596  type Slv73VectorArray is array (natural range<>, natural range<>) of slv(72 downto 0);
597  type Slv72VectorArray is array (natural range<>, natural range<>) of slv(71 downto 0);
598  type Slv71VectorArray is array (natural range<>, natural range<>) of slv(70 downto 0);
599  type Slv70VectorArray is array (natural range<>, natural range<>) of slv(69 downto 0);
600  type Slv69VectorArray is array (natural range<>, natural range<>) of slv(68 downto 0);
601  type Slv68VectorArray is array (natural range<>, natural range<>) of slv(67 downto 0);
602  type Slv67VectorArray is array (natural range<>, natural range<>) of slv(66 downto 0);
603  type Slv66VectorArray is array (natural range<>, natural range<>) of slv(65 downto 0);
604  type Slv65VectorArray is array (natural range<>, natural range<>) of slv(64 downto 0);
605  type Slv64VectorArray is array (natural range<>, natural range<>) of slv(63 downto 0);
606  type Slv63VectorArray is array (natural range<>, natural range<>) of slv(62 downto 0);
607  type Slv62VectorArray is array (natural range<>, natural range<>) of slv(61 downto 0);
608  type Slv61VectorArray is array (natural range<>, natural range<>) of slv(60 downto 0);
609  type Slv60VectorArray is array (natural range<>, natural range<>) of slv(59 downto 0);
610  type Slv59VectorArray is array (natural range<>, natural range<>) of slv(58 downto 0);
611  type Slv58VectorArray is array (natural range<>, natural range<>) of slv(57 downto 0);
612  type Slv57VectorArray is array (natural range<>, natural range<>) of slv(56 downto 0);
613  type Slv56VectorArray is array (natural range<>, natural range<>) of slv(55 downto 0);
614  type Slv55VectorArray is array (natural range<>, natural range<>) of slv(54 downto 0);
615  type Slv54VectorArray is array (natural range<>, natural range<>) of slv(53 downto 0);
616  type Slv53VectorArray is array (natural range<>, natural range<>) of slv(52 downto 0);
617  type Slv52VectorArray is array (natural range<>, natural range<>) of slv(51 downto 0);
618  type Slv51VectorArray is array (natural range<>, natural range<>) of slv(50 downto 0);
619  type Slv50VectorArray is array (natural range<>, natural range<>) of slv(49 downto 0);
620  type Slv49VectorArray is array (natural range<>, natural range<>) of slv(48 downto 0);
621  type Slv48VectorArray is array (natural range<>, natural range<>) of slv(47 downto 0);
622  type Slv47VectorArray is array (natural range<>, natural range<>) of slv(46 downto 0);
623  type Slv46VectorArray is array (natural range<>, natural range<>) of slv(45 downto 0);
624  type Slv45VectorArray is array (natural range<>, natural range<>) of slv(44 downto 0);
625  type Slv44VectorArray is array (natural range<>, natural range<>) of slv(43 downto 0);
626  type Slv43VectorArray is array (natural range<>, natural range<>) of slv(42 downto 0);
627  type Slv42VectorArray is array (natural range<>, natural range<>) of slv(41 downto 0);
628  type Slv41VectorArray is array (natural range<>, natural range<>) of slv(40 downto 0);
629  type Slv40VectorArray is array (natural range<>, natural range<>) of slv(39 downto 0);
630  type Slv39VectorArray is array (natural range<>, natural range<>) of slv(38 downto 0);
631  type Slv38VectorArray is array (natural range<>, natural range<>) of slv(37 downto 0);
632  type Slv37VectorArray is array (natural range<>, natural range<>) of slv(36 downto 0);
633  type Slv36VectorArray is array (natural range<>, natural range<>) of slv(35 downto 0);
634  type Slv35VectorArray is array (natural range<>, natural range<>) of slv(34 downto 0);
635  type Slv34VectorArray is array (natural range<>, natural range<>) of slv(33 downto 0);
636  type Slv33VectorArray is array (natural range<>, natural range<>) of slv(32 downto 0);
637  type Slv32VectorArray is array (natural range<>, natural range<>) of slv(31 downto 0);
638  type Slv31VectorArray is array (natural range<>, natural range<>) of slv(30 downto 0);
639  type Slv30VectorArray is array (natural range<>, natural range<>) of slv(29 downto 0);
640  type Slv29VectorArray is array (natural range<>, natural range<>) of slv(28 downto 0);
641  type Slv28VectorArray is array (natural range<>, natural range<>) of slv(27 downto 0);
642  type Slv27VectorArray is array (natural range<>, natural range<>) of slv(26 downto 0);
643  type Slv26VectorArray is array (natural range<>, natural range<>) of slv(25 downto 0);
644  type Slv25VectorArray is array (natural range<>, natural range<>) of slv(24 downto 0);
645  type Slv24VectorArray is array (natural range<>, natural range<>) of slv(23 downto 0);
646  type Slv23VectorArray is array (natural range<>, natural range<>) of slv(22 downto 0);
647  type Slv22VectorArray is array (natural range<>, natural range<>) of slv(21 downto 0);
648  type Slv21VectorArray is array (natural range<>, natural range<>) of slv(20 downto 0);
649  type Slv20VectorArray is array (natural range<>, natural range<>) of slv(19 downto 0);
650  type Slv19VectorArray is array (natural range<>, natural range<>) of slv(18 downto 0);
651  type Slv18VectorArray is array (natural range<>, natural range<>) of slv(17 downto 0);
652  type Slv17VectorArray is array (natural range<>, natural range<>) of slv(16 downto 0);
653  type Slv16VectorArray is array (natural range<>, natural range<>) of slv(15 downto 0);
654  type Slv15VectorArray is array (natural range<>, natural range<>) of slv(14 downto 0);
655  type Slv14VectorArray is array (natural range<>, natural range<>) of slv(13 downto 0);
656  type Slv13VectorArray is array (natural range<>, natural range<>) of slv(12 downto 0);
657  type Slv12VectorArray is array (natural range<>, natural range<>) of slv(11 downto 0);
658  type Slv11VectorArray is array (natural range<>, natural range<>) of slv(10 downto 0);
659  type Slv10VectorArray is array (natural range<>, natural range<>) of slv(9 downto 0);
660  type Slv9VectorArray is array (natural range<>, natural range<>) of slv(8 downto 0);
661  type Slv8VectorArray is array (natural range<>, natural range<>) of slv(7 downto 0);
662  type Slv7VectorArray is array (natural range<>, natural range<>) of slv(6 downto 0);
663  type Slv6VectorArray is array (natural range<>, natural range<>) of slv(5 downto 0);
664  type Slv5VectorArray is array (natural range<>, natural range<>) of slv(4 downto 0);
665  type Slv4VectorArray is array (natural range<>, natural range<>) of slv(3 downto 0);
666  type Slv3VectorArray is array (natural range<>, natural range<>) of slv(2 downto 0);
667  type Slv2VectorArray is array (natural range<>, natural range<>) of slv(1 downto 0);
668  type Slv1VectorArray is array (natural range<>, natural range<>) of slv(0 downto 0);
669  type SlVectorArray is array (natural range<>, natural range<>) of sl;
670 
671  -- Mux a SlVectorArray into an SLV
672  function muxSlVectorArray (vec : SlVectorArray; addr : natural; allowOutOfRange : boolean := false) return slv;
673 
674  -- Build Information:
675  -- BUILD_INFO_G(2047 downto 0) = buildString
676  -- BUILD_INFO_G(2079 downto 2048) = fwVersion
677  -- BUILD_INFO_G(2239 downto 2080) = gitHash
678  subtype BuildInfoType is slv(2239 downto 0);
679  type BuildInfoRetType is record
681  fwVersion : slv(31 downto 0);
682  gitHash : slv(159 downto 0);
683  end record;
684  function toBuildInfo (din : slv) return BuildInfoRetType;
685  function toSlv ( din : BuildInfoRetType) return BuildInfoType;
686 
688  buildString => (others => (others => '0')),
689  fwVersion => X"00000000",
690  gitHash => (others => '0'));
691 
692  constant BUILD_INFO_DEFAULT_SLV_C : BuildInfoType := (others => '0');
693 
694 end StdRtlPkg;
695 
696 package body StdRtlPkg is
697 
698  function slvAll (size : positive; value : sl) return slv is
699  variable retVar : slv(size-1 downto 0) := (others => value);
700  begin
701  return retVar;
702  end function slvAll;
703 
704  function slvZero (size : positive) return slv is
705  begin
706  return slvAll(size, '0');
707  end function;
708 
709  function slvOne (size : positive) return slv is
710  begin
711  return slvAll(size, '1');
712  end function;
713 
714  function isPowerOf2 (number : natural) return boolean is
715  begin
716  return isPowerOf2(toSlv(number, 32));
717  end function isPowerOf2;
718 
719  function isPowerOf2 (vector : slv) return boolean is
720  begin
721  return (unsigned(vector) /= 0) and
722  (unsigned(unsigned(vector) and (unsigned(vector)-1)) = 0);
723  end function isPowerOf2;
724 
725  ---------------------------------------------------------------------------------------------------------------------
726  -- Function: log2
727  -- Purpose: Finds the log base 2 of an integer
728  -- Input is rounded up to nearest power of two.
729  -- Therefore log2(5) = log2(8) = 3.
730  -- Arg: number - integer to find log2 of
731  -- Returns: Integer containing log base two of input.
732  ---------------------------------------------------------------------------------------------------------------------
733  function log2(constant number : integer) return natural is
734  begin
735  if (number < 2) then
736  return 1;
737  end if;
738  return integer(ceil(ieee.math_real.log2(real(number))));
739  end function;
740 
741  -- Find number of bits needed to store a number
742  function bitSize (constant number : natural ) return positive is
743  begin
744  if (number = 0 or number = 1) then
745  return 1;
746  else
747  if (isPowerOf2(number)) then
748  return log2(number) + 1;
749  else
750  return log2(number);
751  end if;
752  end if;
753  end function;
754 
755  -- NOTE: XST will crap its pants if you try to pass a constant to this function
756  function bitReverse (a : slv) return slv is
757  variable resultVar : slv(a'range);
758  alias aa : slv(a'reverse_range) is a;
759  begin
760  for i in aa'range loop
761  resultVar(i) := aa(i);
762  end loop;
763  return resultVar;
764  end;
765 
766  function wordCount (number : positive; wordSize : positive := 8) return natural is
767  variable ret : natural;
768  begin
769  ret := number / wordSize;
770  if (number mod wordSize /= 0) then
771  ret := ret + 1;
772  end if;
773  return ret;
774  end function wordCount;
775 
776  function list (constant start, size, step : integer) return IntegerArray is
777  variable retVar : IntegerArray(0 to size-1);
778  begin
779  for i in retVar'range loop
780  retVar(i) := start + (i * step);
781  end loop;
782  return retVar;
783  end function list;
784 
785  function toBoolean (logic : sl) return boolean is
786  begin -- function toBoolean
787  return logic = '1';
788  end function toBoolean;
789 
790  function toSl (bool : boolean) return sl is
791  begin
792  if (bool) then
793  return '1';
794  else
795  return '0';
796  end if;
797  end function toSl;
798 
799  function toString (bool : boolean) return string is
800  begin
801  if (bool) then
802  return "TRUE";
803  else
804  return "FALSE";
805  end if;
806  end function toString;
807 
808  function toBoolean (str : string) return boolean is
809  begin
810  if (str = "TRUE" or str = "true") then
811  return true;
812  else
813  return false;
814  end if;
815  end function toBoolean;
816 
817  function toSlv ( bools : BooleanArray) return slv is
818  variable ret : slv(bools'range) := (others => '0');
819  begin
820  for i in ret'range loop
821  ret(i) := toSl(bools(i));
822  end loop;
823  return ret;
824  end function toSlv;
825 
826  --------------------------------------------------------------------------------------------------
827  -- Decode and genmux
828  --------------------------------------------------------------------------------------------------
829  -- generic decoder
830  function decode(v : slv) return slv is
831  variable res : slv((2**v'length)-1 downto 0);
832  variable i : integer;
833  begin
834  res := (others => '0');
835  i := 0;
836  i := to_integer(unsigned(v));
837  res(i) := '1';
838  return res;
839  end;
840 
841  -- generic multiplexer
842  function genmux(s, v : slv) return sl is
843  variable res : slv(v'length-1 downto 0);
844  variable i : integer;
845  begin
846  res := v;
847  i := 0;
848  i := to_integer(unsigned(s));
849  return res(i);
850  end;
851 
852  ---------------------------------------------------------------------------------------------------------------------
853  -- Unary reduction operators
854  ---------------------------------------------------------------------------------------------------------------------
855  function uOr (vec : slv) return sl is
856  begin
857  for i in vec'range loop
858  if (vec(i) = '1') then
859  return '1';
860  end if;
861  end loop;
862  return '0';
863  end function uOr;
864 
865  function uAnd (vec : slv) return sl is
866  begin
867  for i in vec'range loop
868  if (vec(i) = '0') then
869  return '0';
870  end if;
871  end loop;
872  return '1';
873  end function uAnd;
874 
875  function uXor (vec : slv) return sl is
876  variable intVar : sl;
877  begin
878  for i in vec'range loop
879  if (i = vec'left) then
880  intVar := vec(i);
881  else
882  intVar := intVar xor vec(i);
883  end if;
884  end loop;
885  return intVar;
886  end function uXor;
887 
888  function allBits (vec : slv; test : sl) return boolean is
889  begin
890  for i in vec'range loop
891  if (vec(i) /= test) then
892  return false;
893  end if;
894  end loop;
895  return true;
896  end function;
897 
898  function noBits (vec : slv; test : sl) return boolean is
899  begin
900  for i in vec'range loop
901  if (vec(i) = test) then
902  return false;
903  end if;
904  end loop;
905  return true;
906  end function;
907 
908  -----------------------------------------------------------------------------
909  -- Functions to determine parity of arbitrary sized slv
910  -----------------------------------------------------------------------------
911  -- returns '1' if vec has even parity
912  function evenParity (vec : slv)
913  return sl is
914  begin
915  return not uXor(vec);
916  end function;
917 
918  -- return '1' if vec has odd parity
919  function oddParity (vec : slv)
920  return sl is
921  begin
922  return uXor(vec);
923  end function;
924 
925  -----------------------------------------------------------------------------
926  -- Functions for counting the number of '1' in a slv bus
927  -----------------------------------------------------------------------------
928  -- New Non-recursive onesCount Function
929  function onesCountU (vec : slv)
930  return unsigned is
931  variable retVar : unsigned((bitSize(vec'length)-1) downto 0) := to_unsigned(0,bitSize(vec'length));
932  begin
933  for i in vec'range loop
934  if vec(i) = '1' then
935  retVar := retVar + 1;
936  end if;
937  end loop;
938  return retVar;
939  end function;
940 
941  function onesCount (
942  vec : slv)
943  return slv is
944  begin
945  return slv(onesCountU(vec));
946  end function onesCount;
947 
948  -- -- Old Recursive onesCount Function
949 -- function onesCount (vec : slv) return unsigned is
950 -- variable topVar : slv(vec'high downto vec'low+(vec'length/2));
951 -- variable bottomVar : slv(topVar'low-1 downto vec'low);
952 -- variable tmpVar : slv(2 downto 0);
953 -- begin
954 -- if (vec'length = 1) then
955 -- return '0' & unsigned(vec);
956 -- end if;
957 
958 -- if (vec'length = 2) then
959 -- return uAnd(vec) & uXor(vec);
960 -- end if;
961 
962 -- if (vec'length = 3) then
963 -- tmpVar := vec;
964 -- case tmpVar is
965 -- when "000" => return "00";
966 -- when "001" => return "01";
967 -- when "010" => return "01";
968 -- when "011" => return "10";
969 -- when "100" => return "01";
970 -- when "101" => return "10";
971 -- when "110" => return "10";
972 -- when "111" => return "11";
973 -- when others => return "00";
974 -- end case;
975 -- end if;
976 
977 -- topVar := vec(vec'high downto (vec'high+1)-((vec'length+1)/2));
978 -- bottomVar := vec(vec'high-((vec'length+1)/2) downto vec'low);
979 
980 -- return ('0' & onesCount(topVar)) + ('0' & onesCount(bottomVar));
981 -- end function;
982 
983  -- SLV variant
984 -- function onesCount (vec : slv)
985 -- return slv is
986 -- variable retVar : slv((bitSize(vec'length)-1) downto 0);
987 -- variable cntVar : unsigned((bitSize(vec'length)-1) downto 0);
988 -- begin
989 -- cntVar := onesCount(vec);
990 -- retVar := slv(cntVar);
991 -- return retVar;
992 -- end function;
993 
994  -----------------------------------------------------------------------------
995  -- Functions for encoding and decoding grey codes
996  -----------------------------------------------------------------------------
997  -- Get next gray code given binary vector
998  function grayEncode (vec : unsigned)
999  return unsigned is
1000  begin
1001  return vec xor shift_right(vec, 1);
1002  end function;
1003 
1004  -- SLV variant
1005  function grayEncode (vec : slv)
1006  return slv is
1007  begin
1008  return slv(grayEncode(unsigned(vec)));
1009  end function;
1010 
1011  -- Get the binary equivalent of a Gray code created with gray_encode.
1012  function grayDecode (vec : unsigned)
1013  return unsigned is
1014  variable retVar : unsigned(vec'range) := (others => '0');
1015  begin
1016  for i in vec'range loop
1017  if (i = vec'left) then
1018  retVar(i) := vec(i);
1019  else
1020  if (vec'ascending) then
1021  retVar(i) := retVar(i-1) xor vec(i);
1022  else
1023  retVar(i) := retVar(i+1) xor vec(i);
1024  end if;
1025  end if;
1026  end loop;
1027  return retVar;
1028  end function;
1029 
1030  -- SLV variant
1031  function grayDecode (vec : slv)
1032  return slv is
1033  begin
1034  return slv(grayDecode(unsigned(vec)));
1035  end function;
1036 
1037  -------------------------------------------------------------------------------------------------
1038  -- Implements an N tap linear feedback shift operation
1039  -- Size of LFSR is variable and determined by length of lfsr parameter
1040  -- Number of taps is variable and determined by length of taps array parameter
1041  -- An input parameter is also available for use in scramblers
1042  -- Output is new lfsr value after one shift operation
1043  -- The lfsr param can be indexed ascending or decending
1044  -- The shift is in the direction of increasing index (left shift for decending, right for ascending)
1045  -------------------------------------------------------------------------------------------------
1046  function lfsrShift (lfsr : slv; constant taps : NaturalArray; input : sl := '0') return slv is
1047  variable retVar : slv(lfsr'range) := (others => '0');
1048  begin
1049  if (lfsr'ascending) then
1050  retVar := input & lfsr(lfsr'left to lfsr'right-1);
1051  else
1052  retVar := lfsr(lfsr'left-1 downto lfsr'right) & input;
1053  end if;
1054 
1055  for i in taps'range loop
1056  assert (taps(i) <= lfsr'high) report "lfsrShift() - Tap value exceedes lfsr range" severity failure;
1057  retVar(lfsr'low) := retVar(lfsr'low) xor lfsr(taps(i));
1058  end loop;
1059 
1060  return retVar;
1061  end function;
1062 
1063  -------------------------------------------------------------------------------------------------
1064  -- One line if-then-else functions.
1065  -------------------------------------------------------------------------------------------------
1066 
1067  function ite (i : boolean; t : boolean; e : boolean) return boolean is
1068  begin
1069  if (i) then return t; else return e; end if;
1070  end function ite;
1071 
1072  function ite (i : boolean; t : sl; e : sl) return sl is
1073  begin
1074  if (i) then return t; else return e; end if;
1075  end function ite;
1076 
1077  function ite (i : boolean; t : slv; e : slv) return slv is
1078  begin
1079  if (i) then return t; else return e; end if;
1080  end function ite;
1081 
1082  function ite (i : boolean; t : bit_vector; e : bit_vector) return bit_vector is
1083  begin
1084  if (i) then return t; else return e; end if;
1085  end function ite;
1086 
1087  function ite (i : boolean; t : character; e : character) return character is
1088  begin
1089  if (i) then return t; else return e; end if;
1090  end function ite;
1091 
1092  function ite (i : boolean; t : string; e : string) return string is
1093  begin
1094  if (i) then return t; else return e; end if;
1095  end function ite;
1096 
1097  function ite (i : boolean; t : integer; e : integer) return integer is
1098  begin
1099  if (i) then return t; else return e; end if;
1100  end function ite;
1101 
1102  function ite (i : boolean; t : real; e : real) return real is
1103  begin
1104  if (i) then return t; else return e; end if;
1105  end function ite;
1106 
1107  function ite (i : boolean; t : time; e : time) return time is
1108  begin
1109  if (i) then return t; else return e; end if;
1110  end function ite;
1111 
1112  -----------------------------
1113  -- Min and Max
1114  -----------------------------
1115  function maximum (left, right : integer) return integer is
1116  begin
1117  if left > right then return left;
1118  else return right;
1119  end if;
1120  end maximum;
1121 
1122  function minimum (left, right : integer) return integer is
1123  begin
1124  if left < right then return left;
1125  else return right;
1126  end if;
1127  end minimum;
1128 
1129  -----------------------------
1130  -- conv_std_logic_vector functions
1131  -- without calling the STD_LOGIC_ARITH library
1132  -----------------------------
1133 
1134  -- convert an integer to an STD_LOGIC_VECTOR
1135  function toSlv(ARG : integer; SIZE : integer) return slv is
1136  begin
1137  if (arg < 0) then
1138  return slv(to_unsigned(0, SIZE));
1139  end if;
1140  return slv(to_unsigned(ARG, SIZE));
1141  end;
1142 
1143 
1144  -------------------------------------------------------------------------------------------------
1145  -- Multiply and divide reals and integer
1146  -------------------------------------------------------------------------------------------------
1147  function "*" (L : real; R : integer) return real is
1148  begin
1149  return real(L*real(R));
1150  end function "*";
1151 
1152  function "*" (L : integer; R : real) return real is
1153  begin
1154  return real(real(R)*L);
1155  end function;
1156 
1157  function "/" (L : integer; R : real) return real is
1158  begin
1159  return real(real(L)/R);
1160  end function;
1161 
1162  function "/" (L : real; R : integer) return real is
1163  begin
1164  return real(L/real(R));
1165  end function;
1166 
1167  -------------------------------------------------------------------------------------------------
1168  -- Simulates an ADC conversion
1169  -------------------------------------------------------------------------------------------------
1170  function adcConversion (
1171  ain : real;
1172  low : real;
1173  high : real;
1174  bits : positive;
1175  twosComp : boolean)
1176  return slv is
1177  variable tmpR : real;
1178  variable tmpI : integer;
1179 
1180  variable retSigned : signed(bits-1 downto 0);
1181  variable retUnsigned : unsigned(bits-1 downto 0);
1182  begin
1183  tmpR := ain;
1184 
1185  -- Constrain input to full scale range
1186  tmpR := realmin(high, tmpR);
1187  tmpR := realmax(low, tmpR);
1188 
1189  -- Scale to [0,1] or [-.5,.5]
1190  tmpR := (tmpR-low)/(high-low) + ite(twosComp, -0.5, 0.0);
1191 
1192  -- Scale to number of bits
1193  tmpR := tmpR * real(2**bits);
1194 
1195  if (twosComp) then
1196  retSigned := to_signed(integer(round(tmpR)), bits);
1197  return slv(retSigned);
1198  else
1199  retUnsigned := to_unsigned(integer(round(tmpR)), bits);
1200  return slv(retUnsigned);
1201  end if;
1202  end function adcConversion;
1203 
1204  -----------------------------
1205  -- gets a time ratio
1206  -----------------------------
1207  function getTimeRatio (T1, T2 : time) return natural is
1208  begin
1209  return natural(T1/T2);
1210  end function;
1211 
1212  function getTimeRatio (T1, T2 : real) return natural is
1213  begin
1214  return natural(ROUND(abs(T1/T2)));
1215  end function;
1216 
1217  ---------------------------------------------------------------------------------------------------------------------
1218  -- Convert a frequency to a period (time).
1219  ---------------------------------------------------------------------------------------------------------------------
1220  -- pragma translate_off
1221  function toTime(f : frequency) return time is
1222  begin
1223  return(1.0 sec / (f/Hz));
1224  end function;
1225  --pragma translate_on
1226 
1227  -----------------------------
1228  -- Mux a SlVectorArray into an SLV
1229  -----------------------------
1231  addr : natural;
1232  allowOutOfRange : boolean := false)
1233  return slv is
1234  variable retVar : slv(vec'range(2));
1235  begin
1236  -- Check the limit of the address
1237  if (addr < vec'length(1)) or (allowOutOfRange = false) then
1238  for i in vec'range(2) loop
1239  retVar(i) := vec(addr, i);
1240  end loop;
1241  else
1242  retVar := (others => '0');
1243  end if;
1244  return retVar;
1245  end function;
1246 
1247  procedure assignSlv (
1248  i : inout integer;
1249  vector : inout slv;
1250  value : in slv)
1251  is
1252  variable low : integer;
1253  begin
1254  low := i;
1255  i := i+value'length;
1256  vector(i-1 downto low) := value;
1257  end procedure assignSlv;
1258 
1259  procedure assignSlv (
1260  i : inout integer;
1261  vector : inout slv;
1262  value : in sl)
1263  is
1264  begin
1265  vector(i) := value;
1266  i := i+1;
1267  end procedure assignSlv;
1268 
1269  procedure assignRecord (
1270  i : inout integer;
1271  vector : in slv;
1272  value : inout slv)
1273  is
1274  variable low : integer;
1275  begin
1276  low := i;
1277  i := i+value'length;
1278  value := vector(i-1 downto low);
1279  end procedure assignRecord;
1280 
1281  procedure assignRecord (
1282  i : inout integer;
1283  vector : in slv;
1284  value : inout sl)
1285  is
1286  begin
1287  value := vector(i);
1288  i := i+1;
1289  end procedure assignRecord;
1290 
1291  -- Resize an SLV, either by trimming or padding upper bits
1292  function resize ( vec : slv; newSize : integer; pad : sl:='0') return slv is
1293  variable ret : slv(newSize-1 downto 0);
1294  variable tmp : slv(vec'length-1 downto 0);
1295  variable top : integer;
1296  begin
1297  ret := (others => pad);
1298  tmp := vec; -- handles ranges that arent x:0
1299  top := minimum( newSize, vec'length) - 1;
1300  ret(top downto 0) := tmp(top downto 0);
1301  return ret;
1302  end function;
1303 
1304  function resize (str : string; newSize : integer ; pad : character := nul) return string is
1305  variable ret : string(1 to newSize);
1306  variable tmp : string(1 to str'length);
1307  variable top : integer;
1308  begin
1309  ret := (others => pad);
1310  tmp := str;
1311  top := minimum( newSize, str'length);
1312  ret(1 to top) := tmp(1 to top);
1313  return ret;
1314  end function resize;
1315 
1316  function toBuildInfo (din : slv) return BuildInfoRetType is
1317  variable ret : BuildInfoRetType;
1318  variable i : natural;
1319  begin
1320  for i in 0 to 255 loop
1321  ret.buildString(i/4)(8*(i mod 4)+7 downto 8*(i mod 4)) := din(2047-(8*i) downto 2040-(8*i));
1322  end loop;
1323  ret.fwVersion := din(2079 downto 2048);
1324  ret.gitHash := din(2239 downto 2080);
1325  return ret;
1326  end function;
1327 
1328  function toSlv (din : BuildInfoRetType) return BuildInfoType is
1329  variable ret : BuildInfoType;
1330  begin
1331  for i in 0 to 255 loop
1332  ret(2047-(8*i) downto 2040-(8*i)) := din.buildString(i/4)(8*(i mod 4)+7 downto 8*(i mod 4));
1333  end loop;
1334  ret(2079 downto 2048) := din.fwVersion;
1335  ret(2239 downto 2080) := din.gitHash;
1336  return ret;
1337  end function toSlv;
1338 
1339 end package body StdRtlPkg;
array(natural range <> ) of slv( 212 downto 0) Slv213Array
Definition: StdRtlPkg.vhd:198
sl uAndvec,
Definition: StdRtlPkg.vhd:78
array(natural range <> ) of slv( 251 downto 0) Slv252Array
Definition: StdRtlPkg.vhd:159
array(natural range <> ) of slv( 252 downto 0) Slv253Array
Definition: StdRtlPkg.vhd:158
array(natural range <> ,natural range <> ) of slv( 99 downto 0) Slv100VectorArray
Definition: StdRtlPkg.vhd:569
array(natural range <> ) of slv( 97 downto 0) Slv98Array
Definition: StdRtlPkg.vhd:313
unsigned onesCountUvec,
Definition: StdRtlPkg.vhd:91
array(natural range <> ,natural range <> ) of slv( 7 downto 0) Slv8VectorArray
Definition: StdRtlPkg.vhd:661
slv muxSlVectorArrayvec,addr,allowOutOfRange,
Definition: StdRtlPkg.vhd:672
array(natural range <> ) of slv( 194 downto 0) Slv195Array
Definition: StdRtlPkg.vhd:216
array(natural range <> ,natural range <> ) of slv( 176 downto 0) Slv177VectorArray
Definition: StdRtlPkg.vhd:492
array(natural range <> ,natural range <> ) of slv( 239 downto 0) Slv240VectorArray
Definition: StdRtlPkg.vhd:429
array(natural range <> ,natural range <> ) of slv( 14 downto 0) Slv15VectorArray
Definition: StdRtlPkg.vhd:654
array(natural range <> ) of slv( 228 downto 0) Slv229Array
Definition: StdRtlPkg.vhd:182
array(natural range <> ) of slv( 81 downto 0) Slv82Array
Definition: StdRtlPkg.vhd:329
array(natural range <> ,natural range <> ) of slv( 126 downto 0) Slv127VectorArray
Definition: StdRtlPkg.vhd:542
array(natural range <> ,natural range <> ) of slv( 180 downto 0) Slv181VectorArray
Definition: StdRtlPkg.vhd:488
sl oddParityvec,
Definition: StdRtlPkg.vhd:88
array(natural range <> ) of slv( 186 downto 0) Slv187Array
Definition: StdRtlPkg.vhd:224
array(natural range <> ,natural range <> ) of slv( 106 downto 0) Slv107VectorArray
Definition: StdRtlPkg.vhd:562
array(natural range <> ) of slv( 207 downto 0) Slv208Array
Definition: StdRtlPkg.vhd:203
array(natural range <> ) of slv( 234 downto 0) Slv235Array
Definition: StdRtlPkg.vhd:176
array(natural range <> ,natural range <> ) of slv( 108 downto 0) Slv109VectorArray
Definition: StdRtlPkg.vhd:560
array(natural range <> ,natural range <> ) of slv( 134 downto 0) Slv135VectorArray
Definition: StdRtlPkg.vhd:534
array(natural range <> ) of slv( 123 downto 0) Slv124Array
Definition: StdRtlPkg.vhd:287
array(natural range <> ,natural range <> ) of slv( 236 downto 0) Slv237VectorArray
Definition: StdRtlPkg.vhd:432
array(natural range <> ) of slv( 33 downto 0) Slv34Array
Definition: StdRtlPkg.vhd:377
array(natural range <> ,natural range <> ) of slv( 164 downto 0) Slv165VectorArray
Definition: StdRtlPkg.vhd:504
array(natural range <> ,natural range <> ) of slv( 216 downto 0) Slv217VectorArray
Definition: StdRtlPkg.vhd:452
string toStringbool,
Definition: StdRtlPkg.vhd:72
array(natural range <> ) of slv( 114 downto 0) Slv115Array
Definition: StdRtlPkg.vhd:296
array(natural range <> ,natural range <> ) of slv( 33 downto 0) Slv34VectorArray
Definition: StdRtlPkg.vhd:635
array(natural range <> ) of slv( 231 downto 0) Slv232Array
Definition: StdRtlPkg.vhd:179
array(natural range <> ) of slv( 225 downto 0) Slv226Array
Definition: StdRtlPkg.vhd:185
array(natural range <> ,natural range <> ) of slv( 173 downto 0) Slv174VectorArray
Definition: StdRtlPkg.vhd:495
array(natural range <> ) of slv( 189 downto 0) Slv190Array
Definition: StdRtlPkg.vhd:221
array(natural range <> ) of slv( 31 downto 0) Slv32Array
Definition: StdRtlPkg.vhd:379
array(natural range <> ) of slv( 190 downto 0) Slv191Array
Definition: StdRtlPkg.vhd:220
array(natural range <> ,natural range <> ) of slv( 140 downto 0) Slv141VectorArray
Definition: StdRtlPkg.vhd:528
array(natural range <> ) of slv( 77 downto 0) Slv78Array
Definition: StdRtlPkg.vhd:333
array(natural range <> ) of slv( 204 downto 0) Slv205Array
Definition: StdRtlPkg.vhd:206
array(natural range <> ) of slv( 11 downto 0) Slv12Array
Definition: StdRtlPkg.vhd:399
array(natural range <> ,natural range <> ) of slv( 192 downto 0) Slv193VectorArray
Definition: StdRtlPkg.vhd:476
array(natural range <> ) of slv( 26 downto 0) Slv27Array
Definition: StdRtlPkg.vhd:384
array(natural range <> ) of slv( 70 downto 0) Slv71Array
Definition: StdRtlPkg.vhd:340
array(natural range <> ,natural range <> ) of slv( 129 downto 0) Slv130VectorArray
Definition: StdRtlPkg.vhd:539
array(natural range <> ) of slv( 235 downto 0) Slv236Array
Definition: StdRtlPkg.vhd:175
array(natural range <> ,natural range <> ) of slv( 152 downto 0) Slv153VectorArray
Definition: StdRtlPkg.vhd:516
array(natural range <> ,natural range <> ) of slv( 121 downto 0) Slv122VectorArray
Definition: StdRtlPkg.vhd:547
array(natural range <> ) of slv( 2 downto 0) Slv3Array
Definition: StdRtlPkg.vhd:408
array(natural range <> ) of slv( 236 downto 0) Slv237Array
Definition: StdRtlPkg.vhd:174
array(natural range <> ,natural range <> ) of slv( 68 downto 0) Slv69VectorArray
Definition: StdRtlPkg.vhd:600
array(natural range <> ) of slv( 129 downto 0) Slv130Array
Definition: StdRtlPkg.vhd:281
array(natural range <> ) of real RealArray
Definition: StdRtlPkg.vhd:36
array(natural range <> ) of slv( 58 downto 0) Slv59Array
Definition: StdRtlPkg.vhd:352
array(natural range <> ,natural range <> ) of slv( 88 downto 0) Slv89VectorArray
Definition: StdRtlPkg.vhd:580
array(natural range <> ,natural range <> ) of slv( 0 downto 0) Slv1VectorArray
Definition: StdRtlPkg.vhd:668
array(natural range <> ) of slv( 153 downto 0) Slv154Array
Definition: StdRtlPkg.vhd:257
array(natural range <> ,natural range <> ) of slv( 105 downto 0) Slv106VectorArray
Definition: StdRtlPkg.vhd:563
sl uXorvec,
Definition: StdRtlPkg.vhd:79
array(natural range <> ,natural range <> ) of slv( 151 downto 0) Slv152VectorArray
Definition: StdRtlPkg.vhd:517
array(natural range <> ) of slv( 40 downto 0) Slv41Array
Definition: StdRtlPkg.vhd:370
array(natural range <> ,natural range <> ) of slv( 158 downto 0) Slv159VectorArray
Definition: StdRtlPkg.vhd:510
array(natural range <> ,natural range <> ) of slv( 104 downto 0) Slv105VectorArray
Definition: StdRtlPkg.vhd:564
array(natural range <> ) of slv( 64 downto 0) Slv65Array
Definition: StdRtlPkg.vhd:346
array(natural range <> ) of slv( 211 downto 0) Slv212Array
Definition: StdRtlPkg.vhd:199
array(natural range <> ,natural range <> ) of slv( 25 downto 0) Slv26VectorArray
Definition: StdRtlPkg.vhd:643
array(natural range <> ,natural range <> ) of slv( 29 downto 0) Slv30VectorArray
Definition: StdRtlPkg.vhd:639
array(natural range <> ) of slv( 165 downto 0) Slv166Array
Definition: StdRtlPkg.vhd:245
array(natural range <> ,natural range <> ) of slv( 45 downto 0) Slv46VectorArray
Definition: StdRtlPkg.vhd:623
array(natural range <> ) of slv( 28 downto 0) Slv29Array
Definition: StdRtlPkg.vhd:382
array(natural range <> ,natural range <> ) of slv( 10 downto 0) Slv11VectorArray
Definition: StdRtlPkg.vhd:658
time toTimef,
Definition: StdRtlPkg.vhd:151
array(natural range <> ) of slv( 85 downto 0) Slv86Array
Definition: StdRtlPkg.vhd:325
array(natural range <> ) of slv( 0 downto 0) Slv1Array
Definition: StdRtlPkg.vhd:410
array(natural range <> ,natural range <> ) of slv( 85 downto 0) Slv86VectorArray
Definition: StdRtlPkg.vhd:583
array(natural range <> ,natural range <> ) of slv( 122 downto 0) Slv123VectorArray
Definition: StdRtlPkg.vhd:546
array(natural range <> ,natural range <> ) of slv( 255 downto 0) Slv256VectorArray
Definition: StdRtlPkg.vhd:413
array(natural range <> ,natural range <> ) of slv( 124 downto 0) Slv125VectorArray
Definition: StdRtlPkg.vhd:544
array(natural range <> ,natural range <> ) of slv( 39 downto 0) Slv40VectorArray
Definition: StdRtlPkg.vhd:629
array(natural range <> ,natural range <> ) of slv( 27 downto 0) Slv28VectorArray
Definition: StdRtlPkg.vhd:641
array(natural range <> ) of slv( 208 downto 0) Slv209Array
Definition: StdRtlPkg.vhd:202
array(natural range <> ) of slv( 105 downto 0) Slv106Array
Definition: StdRtlPkg.vhd:305
array(natural range <> ) of slv( 137 downto 0) Slv138Array
Definition: StdRtlPkg.vhd:273
array(natural range <> ,natural range <> ) of slv( 31 downto 0) Slv32VectorArray
Definition: StdRtlPkg.vhd:637
array(natural range <> ) of slv( 237 downto 0) Slv238Array
Definition: StdRtlPkg.vhd:173
array(natural range <> ) of slv( 166 downto 0) Slv167Array
Definition: StdRtlPkg.vhd:244
sl uOrvec,
Definition: StdRtlPkg.vhd:77
array(natural range <> ,natural range <> ) of slv( 156 downto 0) Slv157VectorArray
Definition: StdRtlPkg.vhd:512
assignRecordi,vector,value,
Definition: StdRtlPkg.vhd:134
array(natural range <> ,natural range <> ) of slv( 159 downto 0) Slv160VectorArray
Definition: StdRtlPkg.vhd:509
array(natural range <> ) of slv( 18 downto 0) Slv19Array
Definition: StdRtlPkg.vhd:392
array(natural range <> ) of slv( 25 downto 0) Slv26Array
Definition: StdRtlPkg.vhd:385
1000 kHz MHz
Definition: StdRtlPkg.vhd:149
array(natural range <> ) of slv( 151 downto 0) Slv152Array
Definition: StdRtlPkg.vhd:259
array(natural range <> ) of slv( 91 downto 0) Slv92Array
Definition: StdRtlPkg.vhd:319
std_logic sl
Definition: StdRtlPkg.vhd:28
array(natural range <> ,natural range <> ) of slv( 89 downto 0) Slv90VectorArray
Definition: StdRtlPkg.vhd:579
array(natural range <> ) of slv( 121 downto 0) Slv122Array
Definition: StdRtlPkg.vhd:289
array(natural range <> ,natural range <> ) of slv( 130 downto 0) Slv131VectorArray
Definition: StdRtlPkg.vhd:538
array(natural range <> ) of slv( 93 downto 0) Slv94Array
Definition: StdRtlPkg.vhd:317
array(natural range <> ) of slv( 205 downto 0) Slv206Array
Definition: StdRtlPkg.vhd:205
slv( 2239 downto 0) BuildInfoType
Definition: StdRtlPkg.vhd:678
array(natural range <> ) of slv( 210 downto 0) Slv211Array
Definition: StdRtlPkg.vhd:200
_library_ IEEEIEEE
Definition: StdRtlPkg.vhd:18
array(natural range <> ) of slv( 254 downto 0) Slv255Array
Definition: StdRtlPkg.vhd:156
boolean isPowerOf2number,
Definition: StdRtlPkg.vhd:55
array(natural range <> ,natural range <> ) of slv( 46 downto 0) Slv47VectorArray
Definition: StdRtlPkg.vhd:622
array(natural range <> ) of slv( 156 downto 0) Slv157Array
Definition: StdRtlPkg.vhd:254
array(natural range <> ) of slv( 20 downto 0) Slv21Array
Definition: StdRtlPkg.vhd:390
array(natural range <> ) of slv( 248 downto 0) Slv249Array
Definition: StdRtlPkg.vhd:162
array(natural range <> ) of slv( 117 downto 0) Slv118Array
Definition: StdRtlPkg.vhd:293
array(natural range <> ) of slv( 200 downto 0) Slv201Array
Definition: StdRtlPkg.vhd:210
array(natural range <> ) of slv( 148 downto 0) Slv149Array
Definition: StdRtlPkg.vhd:262
array(natural range <> ) of slv( 47 downto 0) Slv48Array
Definition: StdRtlPkg.vhd:363
boolean noBitsvec,test,
Definition: StdRtlPkg.vhd:83
array(natural range <> ) of slv( 14 downto 0) Slv15Array
Definition: StdRtlPkg.vhd:396
array(natural range <> ,natural range <> ) of slv( 112 downto 0) Slv113VectorArray
Definition: StdRtlPkg.vhd:556
array(natural range <> ,natural range <> ) of slv( 117 downto 0) Slv118VectorArray
Definition: StdRtlPkg.vhd:551
array(natural range <> ) of slv( 120 downto 0) Slv121Array
Definition: StdRtlPkg.vhd:290
array(natural range <> ,natural range <> ) of slv( 209 downto 0) Slv210VectorArray
Definition: StdRtlPkg.vhd:459
array(natural range <> ,natural range <> ) of slv( 167 downto 0) Slv168VectorArray
Definition: StdRtlPkg.vhd:501
array(natural range <> ,natural range <> ) of slv( 60 downto 0) Slv61VectorArray
Definition: StdRtlPkg.vhd:608
array(natural range <> ) of slv( 19 downto 0) Slv20Array
Definition: StdRtlPkg.vhd:391
array(natural range <> ,natural range <> ) of time TimeVectorArray
Definition: StdRtlPkg.vhd:46
array(natural range <> ) of slv( 214 downto 0) Slv215Array
Definition: StdRtlPkg.vhd:196
array(natural range <> ) of slv( 95 downto 0) Slv96Array
Definition: StdRtlPkg.vhd:315
array(natural range <> ) of slv( 22 downto 0) Slv23Array
Definition: StdRtlPkg.vhd:388
array(natural range <> ,natural range <> ) of slv( 118 downto 0) Slv119VectorArray
Definition: StdRtlPkg.vhd:550
array(natural range <> ,natural range <> ) of slv( 157 downto 0) Slv158VectorArray
Definition: StdRtlPkg.vhd:511
array(natural range <> ) of slv( 53 downto 0) Slv54Array
Definition: StdRtlPkg.vhd:357
array(natural range <> ) of slv( 27 downto 0) Slv28Array
Definition: StdRtlPkg.vhd:383
array(natural range <> ) of slv( 76 downto 0) Slv77Array
Definition: StdRtlPkg.vhd:334
array(natural range <> ,natural range <> ) of slv( 160 downto 0) Slv161VectorArray
Definition: StdRtlPkg.vhd:508
array(natural range <> ,natural range <> ) of slv( 161 downto 0) Slv162VectorArray
Definition: StdRtlPkg.vhd:507
array(natural range <> ,natural range <> ) of slv( 147 downto 0) Slv148VectorArray
Definition: StdRtlPkg.vhd:521
array(natural range <> ) of slv( 177 downto 0) Slv178Array
Definition: StdRtlPkg.vhd:233
array(natural range <> ) of slv( 227 downto 0) Slv228Array
Definition: StdRtlPkg.vhd:183
array(natural range <> ) of slv( 142 downto 0) Slv143Array
Definition: StdRtlPkg.vhd:268
array(natural range <> ,natural range <> ) of slv( 19 downto 0) Slv20VectorArray
Definition: StdRtlPkg.vhd:649
array(natural range <> ,natural range <> ) of slv( 17 downto 0) Slv18VectorArray
Definition: StdRtlPkg.vhd:651
array(natural range <> ,natural range <> ) of slv( 240 downto 0) Slv241VectorArray
Definition: StdRtlPkg.vhd:428
array(natural range <> ,natural range <> ) of slv( 115 downto 0) Slv116VectorArray
Definition: StdRtlPkg.vhd:553
slv onesCountvec,
Definition: StdRtlPkg.vhd:92
array(natural range <> ,natural range <> ) of sl SlVectorArray
Definition: StdRtlPkg.vhd:669
array(natural range <> ) of slv( 160 downto 0) Slv161Array
Definition: StdRtlPkg.vhd:250
array(natural range <> ) of slv( 66 downto 0) Slv67Array
Definition: StdRtlPkg.vhd:344
array(natural range <> ,natural range <> ) of slv( 73 downto 0) Slv74VectorArray
Definition: StdRtlPkg.vhd:595
slv adcConversionain,low,high,bits,twosComp,
Definition: StdRtlPkg.vhd:126
array(natural range <> ,natural range <> ) of slv( 221 downto 0) Slv222VectorArray
Definition: StdRtlPkg.vhd:447
array(natural range <> ,natural range <> ) of slv( 96 downto 0) Slv97VectorArray
Definition: StdRtlPkg.vhd:572
array(natural range <> ,natural range <> ) of slv( 28 downto 0) Slv29VectorArray
Definition: StdRtlPkg.vhd:640
array(natural range <> ) of slv( 34 downto 0) Slv35Array
Definition: StdRtlPkg.vhd:376
array(natural range <> ) of slv( 182 downto 0) Slv183Array
Definition: StdRtlPkg.vhd:228
array(natural range <> ) of slv( 221 downto 0) Slv222Array
Definition: StdRtlPkg.vhd:189
array(natural range <> ) of slv( 63 downto 0) Slv64Array
Definition: StdRtlPkg.vhd:347
slv toSlvbools,
Definition: StdRtlPkg.vhd:74
array(natural range <> ,natural range <> ) of slv( 113 downto 0) Slv114VectorArray
Definition: StdRtlPkg.vhd:555
array(natural range <> ,natural range <> ) of slv( 218 downto 0) Slv219VectorArray
Definition: StdRtlPkg.vhd:450
array(natural range <> ,natural range <> ) of slv( 185 downto 0) Slv186VectorArray
Definition: StdRtlPkg.vhd:483
slv slvZerosize,
Definition: StdRtlPkg.vhd:51
array(natural range <> ,natural range <> ) of slv( 243 downto 0) Slv244VectorArray
Definition: StdRtlPkg.vhd:425
array(natural range <> ) of slv( 201 downto 0) Slv202Array
Definition: StdRtlPkg.vhd:209
array(natural range <> ) of slv( 84 downto 0) Slv85Array
Definition: StdRtlPkg.vhd:326
array(natural range <> ) of slv( 115 downto 0) Slv116Array
Definition: StdRtlPkg.vhd:295
array(natural range <> ) of slv( 111 downto 0) Slv112Array
Definition: StdRtlPkg.vhd:299
array(natural range <> ) of slv( 224 downto 0) Slv225Array
Definition: StdRtlPkg.vhd:186
array(natural range <> ,natural range <> ) of slv( 47 downto 0) Slv48VectorArray
Definition: StdRtlPkg.vhd:621
array(natural range <> ) of slv( 74 downto 0) Slv75Array
Definition: StdRtlPkg.vhd:336
array(natural range <> ,natural range <> ) of slv( 72 downto 0) Slv73VectorArray
Definition: StdRtlPkg.vhd:596
array(natural range <> ,natural range <> ) of slv( 137 downto 0) Slv138VectorArray
Definition: StdRtlPkg.vhd:531
sl evenParityvec,
Definition: StdRtlPkg.vhd:87
array(natural range <> ,natural range <> ) of slv( 219 downto 0) Slv220VectorArray
Definition: StdRtlPkg.vhd:449
array(natural range <> ) of slv( 173 downto 0) Slv174Array
Definition: StdRtlPkg.vhd:237
array(natural range <> ) of slv( 146 downto 0) Slv147Array
Definition: StdRtlPkg.vhd:264
array(natural range <> ,natural range <> ) of slv( 16 downto 0) Slv17VectorArray
Definition: StdRtlPkg.vhd:652
array(natural range <> ,natural range <> ) of slv( 196 downto 0) Slv197VectorArray
Definition: StdRtlPkg.vhd:472
array(natural range <> ) of slv( 125 downto 0) Slv126Array
Definition: StdRtlPkg.vhd:285
array(natural range <> ) of slv( 23 downto 0) Slv24Array
Definition: StdRtlPkg.vhd:387
array(natural range <> ,natural range <> ) of slv( 61 downto 0) Slv62VectorArray
Definition: StdRtlPkg.vhd:607
boolean itei,t,e,
Definition: StdRtlPkg.vhd:107
array(natural range <> ) of slv( 88 downto 0) Slv89Array
Definition: StdRtlPkg.vhd:322
array(natural range <> ,natural range <> ) of slv( 145 downto 0) Slv146VectorArray
Definition: StdRtlPkg.vhd:523
array(natural range <> ,natural range <> ) of slv( 233 downto 0) Slv234VectorArray
Definition: StdRtlPkg.vhd:435
array(natural range <> ) of slv( 195 downto 0) Slv196Array
Definition: StdRtlPkg.vhd:215
array(natural range <> ) of slv( 5 downto 0) Slv6Array
Definition: StdRtlPkg.vhd:405
array(natural range <> ) of slv( 171 downto 0) Slv172Array
Definition: StdRtlPkg.vhd:239
range 0 to 2147483647 Hz frequency
Definition: StdRtlPkg.vhd:143
array(natural range <> ,natural range <> ) of slv( 248 downto 0) Slv249VectorArray
Definition: StdRtlPkg.vhd:420
positive bitSizenumber,
Definition: StdRtlPkg.vhd:58
IntegerArray liststart,size,step,start,size,step,start,size,step,
Definition: StdRtlPkg.vhd:63
slv( 159 downto 0) gitHash
Definition: StdRtlPkg.vhd:682
array(natural range <> ,natural range <> ) of slv( 67 downto 0) Slv68VectorArray
Definition: StdRtlPkg.vhd:601
array(natural range <> ) of slv( 241 downto 0) Slv242Array
Definition: StdRtlPkg.vhd:169
array(natural range <> ,natural range <> ) of slv( 191 downto 0) Slv192VectorArray
Definition: StdRtlPkg.vhd:477
boolean toBooleanlogic,
Definition: StdRtlPkg.vhd:70
_library_ ieeeieee
Definition: SlvDelay.vhd:18
array(natural range <> ,natural range <> ) of slv( 92 downto 0) Slv93VectorArray
Definition: StdRtlPkg.vhd:576
array(natural range <> ,natural range <> ) of slv( 253 downto 0) Slv254VectorArray
Definition: StdRtlPkg.vhd:415
array(natural range <> ,natural range <> ) of slv( 210 downto 0) Slv211VectorArray
Definition: StdRtlPkg.vhd:458
array(natural range <> ) of slv( 109 downto 0) Slv110Array
Definition: StdRtlPkg.vhd:301
integer maximumleft,right,left,right,
Definition: StdRtlPkg.vhd:103
array(natural range <> ,natural range <> ) of slv( 163 downto 0) Slv164VectorArray
Definition: StdRtlPkg.vhd:505
array(natural range <> ,natural range <> ) of positive PositiveVectorArray
Definition: StdRtlPkg.vhd:44
array(natural range <> ) of slv( 61 downto 0) Slv62Array
Definition: StdRtlPkg.vhd:349
array(natural range <> ) of slv( 193 downto 0) Slv194Array
Definition: StdRtlPkg.vhd:217
array(natural range <> ) of slv( 71 downto 0) Slv72Array
Definition: StdRtlPkg.vhd:339
array(natural range <> ,natural range <> ) of slv( 177 downto 0) Slv178VectorArray
Definition: StdRtlPkg.vhd:491
array(natural range <> ) of slv( 43 downto 0) Slv44Array
Definition: StdRtlPkg.vhd:367
array(natural range <> ,natural range <> ) of slv( 125 downto 0) Slv126VectorArray
Definition: StdRtlPkg.vhd:543
sl toSlbool,
Definition: StdRtlPkg.vhd:71
array(natural range <> ) of slv( 174 downto 0) Slv175Array
Definition: StdRtlPkg.vhd:236
array(natural range <> ,natural range <> ) of slv( 91 downto 0) Slv92VectorArray
Definition: StdRtlPkg.vhd:577
array(natural range <> ,natural range <> ) of slv( 247 downto 0) Slv248VectorArray
Definition: StdRtlPkg.vhd:421
array(natural range <> ) of slv( 243 downto 0) Slv244Array
Definition: StdRtlPkg.vhd:167
array(natural range <> ) of slv( 138 downto 0) Slv139Array
Definition: StdRtlPkg.vhd:272
array(natural range <> ) of slv( 246 downto 0) Slv247Array
Definition: StdRtlPkg.vhd:164
array(natural range <> ,natural range <> ) of slv( 190 downto 0) Slv191VectorArray
Definition: StdRtlPkg.vhd:478
array(natural range <> ) of slv( 6 downto 0) Slv7Array
Definition: StdRtlPkg.vhd:404
array(natural range <> ) of slv( 145 downto 0) Slv146Array
Definition: StdRtlPkg.vhd:265
array(natural range <> ) of slv( 87 downto 0) Slv88Array
Definition: StdRtlPkg.vhd:323
array(natural range <> ,natural range <> ) of slv( 109 downto 0) Slv110VectorArray
Definition: StdRtlPkg.vhd:559
array(natural range <> ,natural range <> ) of slv( 136 downto 0) Slv137VectorArray
Definition: StdRtlPkg.vhd:532
array(natural range <> ) of time TimeArray
Definition: StdRtlPkg.vhd:37
array(natural range <> ) of slv( 155 downto 0) Slv156Array
Definition: StdRtlPkg.vhd:255
array(natural range <> ) of slv( 130 downto 0) Slv131Array
Definition: StdRtlPkg.vhd:280
array(natural range <> ,natural range <> ) of slv( 212 downto 0) Slv213VectorArray
Definition: StdRtlPkg.vhd:456
array(natural range <> ) of slv( 101 downto 0) Slv102Array
Definition: StdRtlPkg.vhd:309
array(natural range <> ) of slv( 188 downto 0) Slv189Array
Definition: StdRtlPkg.vhd:222
array(natural range <> ) of slv( 164 downto 0) Slv165Array
Definition: StdRtlPkg.vhd:246
natural getTimeRatioT1,T2,T1,T2,
Definition: StdRtlPkg.vhd:129
array(natural range <> ,natural range <> ) of slv( 142 downto 0) Slv143VectorArray
Definition: StdRtlPkg.vhd:526
array(natural range <> ) of slv( 132 downto 0) Slv133Array
Definition: StdRtlPkg.vhd:278
array(natural range <> ,natural range <> ) of slv( 171 downto 0) Slv172VectorArray
Definition: StdRtlPkg.vhd:497
array(natural range <> ) of slv( 13 downto 0) Slv14Array
Definition: StdRtlPkg.vhd:397
array(natural range <> ) of slv( 108 downto 0) Slv109Array
Definition: StdRtlPkg.vhd:302
array(natural range <> ,natural range <> ) of slv( 44 downto 0) Slv45VectorArray
Definition: StdRtlPkg.vhd:624
array(natural range <> ,natural range <> ) of slv( 48 downto 0) Slv49VectorArray
Definition: StdRtlPkg.vhd:620
array(natural range <> ) of slv( 21 downto 0) Slv22Array
Definition: StdRtlPkg.vhd:389
array(natural range <> ,natural range <> ) of slv( 133 downto 0) Slv134VectorArray
Definition: StdRtlPkg.vhd:535
array(natural range <> ) of slv( 250 downto 0) Slv251Array
Definition: StdRtlPkg.vhd:160
array(natural range <> ,natural range <> ) of slv( 237 downto 0) Slv238VectorArray
Definition: StdRtlPkg.vhd:431
array(natural range <> ) of slv( 75 downto 0) Slv76Array
Definition: StdRtlPkg.vhd:335
array(natural range <> ,natural range <> ) of slv( 175 downto 0) Slv176VectorArray
Definition: StdRtlPkg.vhd:493
array(natural range <> ) of slv( 168 downto 0) Slv169Array
Definition: StdRtlPkg.vhd:242
array(natural range <> ,natural range <> ) of slv( 120 downto 0) Slv121VectorArray
Definition: StdRtlPkg.vhd:548
array(natural range <> ) of slv( 199 downto 0) Slv200Array
Definition: StdRtlPkg.vhd:211
array(natural range <> ,natural range <> ) of slv( 37 downto 0) Slv38VectorArray
Definition: StdRtlPkg.vhd:631
array(natural range <> ) of slv( 128 downto 0) Slv129Array
Definition: StdRtlPkg.vhd:282
array(natural range <> ,natural range <> ) of natural NaturalVectorArray
Definition: StdRtlPkg.vhd:43
array(natural range <> ,natural range <> ) of slv( 58 downto 0) Slv59VectorArray
Definition: StdRtlPkg.vhd:610
array(natural range <> ) of slv( 50 downto 0) Slv51Array
Definition: StdRtlPkg.vhd:360
array(natural range <> ) of slv( 163 downto 0) Slv164Array
Definition: StdRtlPkg.vhd:247
array(natural range <> ) of slv( 218 downto 0) Slv219Array
Definition: StdRtlPkg.vhd:192
array(natural range <> ) of slv( 60 downto 0) Slv61Array
Definition: StdRtlPkg.vhd:350
array(natural range <> ,natural range <> ) of slv( 201 downto 0) Slv202VectorArray
Definition: StdRtlPkg.vhd:467
array(natural range <> ) of slv( 213 downto 0) Slv214Array
Definition: StdRtlPkg.vhd:197
array(natural range <> ) of slv( 172 downto 0) Slv173Array
Definition: StdRtlPkg.vhd:238
array(natural range <> ,natural range <> ) of slv( 146 downto 0) Slv147VectorArray
Definition: StdRtlPkg.vhd:522
array(natural range <> ,natural range <> ) of slv( 5 downto 0) Slv6VectorArray
Definition: StdRtlPkg.vhd:663
array(natural range <> ,natural range <> ) of slv( 174 downto 0) Slv175VectorArray
Definition: StdRtlPkg.vhd:494
array(natural range <> ,natural range <> ) of slv( 153 downto 0) Slv154VectorArray
Definition: StdRtlPkg.vhd:515
array(natural range <> ) of slv( 118 downto 0) Slv119Array
Definition: StdRtlPkg.vhd:292
array(natural range <> ,natural range <> ) of slv( 82 downto 0) Slv83VectorArray
Definition: StdRtlPkg.vhd:586
array(natural range <> ,natural range <> ) of slv( 22 downto 0) Slv23VectorArray
Definition: StdRtlPkg.vhd:646
array(natural range <> ,natural range <> ) of slv( 77 downto 0) Slv78VectorArray
Definition: StdRtlPkg.vhd:591
array(natural range <> ) of slv( 94 downto 0) Slv95Array
Definition: StdRtlPkg.vhd:316
array(natural range <> ,natural range <> ) of slv( 53 downto 0) Slv54VectorArray
Definition: StdRtlPkg.vhd:615
array(natural range <> ,natural range <> ) of slv( 206 downto 0) Slv207VectorArray
Definition: StdRtlPkg.vhd:462
array(natural range <> ,natural range <> ) of slv( 62 downto 0) Slv63VectorArray
Definition: StdRtlPkg.vhd:606
array(natural range <> ) of slv( 154 downto 0) Slv155Array
Definition: StdRtlPkg.vhd:256
array(natural range <> ,natural range <> ) of slv( 102 downto 0) Slv103VectorArray
Definition: StdRtlPkg.vhd:566
array(natural range <> ,natural range <> ) of slv( 64 downto 0) Slv65VectorArray
Definition: StdRtlPkg.vhd:604
array(natural range <> ) of slv( 30 downto 0) Slv31Array
Definition: StdRtlPkg.vhd:380
array(natural range <> ) of slv( 215 downto 0) Slv216Array
Definition: StdRtlPkg.vhd:195
array(natural range <> ,natural range <> ) of slv( 238 downto 0) Slv239VectorArray
Definition: StdRtlPkg.vhd:430
array(natural range <> ,natural range <> ) of slv( 1 downto 0) Slv2VectorArray
Definition: StdRtlPkg.vhd:667
array(natural range <> ) of slv( 69 downto 0) Slv70Array
Definition: StdRtlPkg.vhd:341
array(natural range <> ) of slv( 143 downto 0) Slv144Array
Definition: StdRtlPkg.vhd:267
array(natural range <> ,natural range <> ) of slv( 18 downto 0) Slv19VectorArray
Definition: StdRtlPkg.vhd:650
array(natural range <> ,natural range <> ) of slv( 150 downto 0) Slv151VectorArray
Definition: StdRtlPkg.vhd:518
array(natural range <> ) of slv( 92 downto 0) Slv93Array
Definition: StdRtlPkg.vhd:318
array(natural range <> ,natural range <> ) of slv( 49 downto 0) Slv50VectorArray
Definition: StdRtlPkg.vhd:619
array(natural range <> ,natural range <> ) of slv( 74 downto 0) Slv75VectorArray
Definition: StdRtlPkg.vhd:594
array(natural range <> ,natural range <> ) of slv( 135 downto 0) Slv136VectorArray
Definition: StdRtlPkg.vhd:533
array(natural range <> ) of slv( 49 downto 0) Slv50Array
Definition: StdRtlPkg.vhd:361
array(natural range <> ,natural range <> ) of slv( 65 downto 0) Slv66VectorArray
Definition: StdRtlPkg.vhd:603
array(natural range <> ,natural range <> ) of slv( 183 downto 0) Slv184VectorArray
Definition: StdRtlPkg.vhd:485
array(natural range <> ) of slv( 216 downto 0) Slv217Array
Definition: StdRtlPkg.vhd:194
array(natural range <> ,natural range <> ) of slv( 205 downto 0) Slv206VectorArray
Definition: StdRtlPkg.vhd:463
array(natural range <> ) of slv( 178 downto 0) Slv179Array
Definition: StdRtlPkg.vhd:232
array(natural range <> ) of slv( 158 downto 0) Slv159Array
Definition: StdRtlPkg.vhd:252
array(natural range <> ) of slv( 106 downto 0) Slv107Array
Definition: StdRtlPkg.vhd:304
array(natural range <> ,natural range <> ) of slv( 6 downto 0) Slv7VectorArray
Definition: StdRtlPkg.vhd:662
array(natural range <> ,natural range <> ) of slv( 203 downto 0) Slv204VectorArray
Definition: StdRtlPkg.vhd:465
array(natural range <> ,natural range <> ) of slv( 181 downto 0) Slv182VectorArray
Definition: StdRtlPkg.vhd:487
unsigned grayDecodevec,
Definition: StdRtlPkg.vhd:97
array(natural range <> ,natural range <> ) of slv( 81 downto 0) Slv82VectorArray
Definition: StdRtlPkg.vhd:587
array(natural range <> ) of slv( 238 downto 0) Slv239Array
Definition: StdRtlPkg.vhd:172
array(natural range <> ,natural range <> ) of slv( 217 downto 0) Slv218VectorArray
Definition: StdRtlPkg.vhd:451
array(natural range <> ,natural range <> ) of slv( 119 downto 0) Slv120VectorArray
Definition: StdRtlPkg.vhd:549
array(natural range <> ) of slv( 249 downto 0) Slv250Array
Definition: StdRtlPkg.vhd:161
array(natural range <> ) of slv( 82 downto 0) Slv83Array
Definition: StdRtlPkg.vhd:328
array(natural range <> ) of slv( 206 downto 0) Slv207Array
Definition: StdRtlPkg.vhd:204
array(natural range <> ) of slv( 245 downto 0) Slv246Array
Definition: StdRtlPkg.vhd:165
array(natural range <> ) of slv( 191 downto 0) Slv192Array
Definition: StdRtlPkg.vhd:219
array(natural range <> ,natural range <> ) of slv( 110 downto 0) Slv111VectorArray
Definition: StdRtlPkg.vhd:558
array(natural range <> ,natural range <> ) of slv( 251 downto 0) Slv252VectorArray
Definition: StdRtlPkg.vhd:417
array(natural range <> ) of slv( 36 downto 0) Slv37Array
Definition: StdRtlPkg.vhd:374
array(natural range <> ,natural range <> ) of slv( 93 downto 0) Slv94VectorArray
Definition: StdRtlPkg.vhd:575
array(natural range <> ) of natural NaturalArray
Definition: StdRtlPkg.vhd:34
array(natural range <> ) of slv( 113 downto 0) Slv114Array
Definition: StdRtlPkg.vhd:297
array(natural range <> ) of slv( 86 downto 0) Slv87Array
Definition: StdRtlPkg.vhd:324
array(natural range <> ) of positive PositiveArray
Definition: StdRtlPkg.vhd:35
array(natural range <> ,natural range <> ) of slv( 75 downto 0) Slv76VectorArray
Definition: StdRtlPkg.vhd:593
array(natural range <> ,natural range <> ) of slv( 56 downto 0) Slv57VectorArray
Definition: StdRtlPkg.vhd:612
array(natural range <> ,natural range <> ) of slv( 63 downto 0) Slv64VectorArray
Definition: StdRtlPkg.vhd:605
1000 Hz kHz
Definition: StdRtlPkg.vhd:149
array(natural range <> ) of slv( 162 downto 0) Slv163Array
Definition: StdRtlPkg.vhd:248
boolean allBitsvec,test,
Definition: StdRtlPkg.vhd:82
array(natural range <> ) of slv( 149 downto 0) Slv150Array
Definition: StdRtlPkg.vhd:261
array(natural range <> ,natural range <> ) of slv( 79 downto 0) Slv80VectorArray
Definition: StdRtlPkg.vhd:589
array(natural range <> ,natural range <> ) of slv( 116 downto 0) Slv117VectorArray
Definition: StdRtlPkg.vhd:552
array(natural range <> ) of slv( 59 downto 0) Slv60Array
Definition: StdRtlPkg.vhd:351
array(natural range <> ,natural range <> ) of slv( 252 downto 0) Slv253VectorArray
Definition: StdRtlPkg.vhd:416
_use_ math_realmath_real
Definition: StdRtlPkg.vhd:21
assignSlvi,vector,value,
Definition: StdRtlPkg.vhd:132
array(natural range <> ) of slv( 41 downto 0) Slv42Array
Definition: StdRtlPkg.vhd:369
array(natural range <> ) of slv( 223 downto 0) Slv224Array
Definition: StdRtlPkg.vhd:187
array(natural range <> ,natural range <> ) of slv( 54 downto 0) Slv55VectorArray
Definition: StdRtlPkg.vhd:614
array(natural range <> ,natural range <> ) of slv( 213 downto 0) Slv214VectorArray
Definition: StdRtlPkg.vhd:455
array(natural range <> ,natural range <> ) of slv( 127 downto 0) Slv128VectorArray
Definition: StdRtlPkg.vhd:541
array(natural range <> ) of slv( 192 downto 0) Slv193Array
Definition: StdRtlPkg.vhd:218
array(natural range <> ,natural range <> ) of slv( 195 downto 0) Slv196VectorArray
Definition: StdRtlPkg.vhd:473
array(natural range <> ) of slv( 159 downto 0) Slv160Array
Definition: StdRtlPkg.vhd:251
array(natural range <> ,natural range <> ) of slv( 9 downto 0) Slv10VectorArray
Definition: StdRtlPkg.vhd:659
array(natural range <> ,natural range <> ) of slv( 149 downto 0) Slv150VectorArray
Definition: StdRtlPkg.vhd:519
array(natural range <> ) of slv( 255 downto 0) Slv256Array
Definition: StdRtlPkg.vhd:155
array(natural range <> ) of slv( 157 downto 0) Slv158Array
Definition: StdRtlPkg.vhd:253
array(natural range <> ) of slv( 55 downto 0) Slv56Array
Definition: StdRtlPkg.vhd:355
array(natural range <> ) of slv( 119 downto 0) Slv120Array
Definition: StdRtlPkg.vhd:291
array(natural range <> ) of slv( 136 downto 0) Slv137Array
Definition: StdRtlPkg.vhd:274
array(natural range <> ) of slv( 217 downto 0) Slv218Array
Definition: StdRtlPkg.vhd:193
array(natural range <> ) of slv( 12 downto 0) Slv13Array
Definition: StdRtlPkg.vhd:398
1000 MHz GHz
Definition: StdRtlPkg.vhd:149
array(natural range <> ) of slv( 184 downto 0) Slv185Array
Definition: StdRtlPkg.vhd:226
array(natural range <> ,natural range <> ) of slv( 131 downto 0) Slv132VectorArray
Definition: StdRtlPkg.vhd:537
array(natural range <> ,natural range <> ) of slv( 76 downto 0) Slv77VectorArray
Definition: StdRtlPkg.vhd:592
array(natural range <> ) of slv( 99 downto 0) Slv100Array
Definition: StdRtlPkg.vhd:311
array(natural range <> ,natural range <> ) of slv( 199 downto 0) Slv200VectorArray
Definition: StdRtlPkg.vhd:469
array(natural range <> ) of slv( 98 downto 0) Slv99Array
Definition: StdRtlPkg.vhd:312
array(natural range <> ,natural range <> ) of slv( 52 downto 0) Slv53VectorArray
Definition: StdRtlPkg.vhd:616
array(natural range <> ) of slv( 219 downto 0) Slv220Array
Definition: StdRtlPkg.vhd:191
array(natural range <> ,natural range <> ) of slv( 11 downto 0) Slv12VectorArray
Definition: StdRtlPkg.vhd:657
array(natural range <> ,natural range <> ) of slv( 169 downto 0) Slv170VectorArray
Definition: StdRtlPkg.vhd:499
array(natural range <> ,natural range <> ) of slv( 43 downto 0) Slv44VectorArray
Definition: StdRtlPkg.vhd:625
array(natural range <> ,natural range <> ) of slv( 234 downto 0) Slv235VectorArray
Definition: StdRtlPkg.vhd:434
array(natural range <> ) of slv( 233 downto 0) Slv234Array
Definition: StdRtlPkg.vhd:177
array(natural range <> ,natural range <> ) of slv( 198 downto 0) Slv199VectorArray
Definition: StdRtlPkg.vhd:470
array(natural range <> ) of slv( 10 downto 0) Slv11Array
Definition: StdRtlPkg.vhd:400
array(natural range <> ,natural range <> ) of slv( 144 downto 0) Slv145VectorArray
Definition: StdRtlPkg.vhd:524
array(natural range <> ,natural range <> ) of slv( 245 downto 0) Slv246VectorArray
Definition: StdRtlPkg.vhd:423
array(natural range <> ,natural range <> ) of slv( 249 downto 0) Slv250VectorArray
Definition: StdRtlPkg.vhd:419
array(natural range <> ) of slv( 62 downto 0) Slv63Array
Definition: StdRtlPkg.vhd:348
array(natural range <> ,natural range <> ) of slv( 26 downto 0) Slv27VectorArray
Definition: StdRtlPkg.vhd:642
array(natural range <> ) of slv( 79 downto 0) Slv80Array
Definition: StdRtlPkg.vhd:331
array(natural range <> ,natural range <> ) of slv( 70 downto 0) Slv71VectorArray
Definition: StdRtlPkg.vhd:598
array(natural range <> ,natural range <> ) of slv( 98 downto 0) Slv99VectorArray
Definition: StdRtlPkg.vhd:570
array(natural range <> ,natural range <> ) of slv( 141 downto 0) Slv142VectorArray
Definition: StdRtlPkg.vhd:527
array(natural range <> ) of slv( 38 downto 0) Slv39Array
Definition: StdRtlPkg.vhd:372
array(natural range <> ) of slv( 112 downto 0) Slv113Array
Definition: StdRtlPkg.vhd:298
array(natural range <> ) of slv( 152 downto 0) Slv153Array
Definition: StdRtlPkg.vhd:258
array(natural range <> ,natural range <> ) of slv( 50 downto 0) Slv51VectorArray
Definition: StdRtlPkg.vhd:618
array(natural range <> ,natural range <> ) of real RealVectorArray
Definition: StdRtlPkg.vhd:45
array(natural range <> ) of slv( 127 downto 0) Slv128Array
Definition: StdRtlPkg.vhd:283
array(natural range <> ) of slv( 131 downto 0) Slv132Array
Definition: StdRtlPkg.vhd:279
array(natural range <> ,natural range <> ) of slv( 34 downto 0) Slv35VectorArray
Definition: StdRtlPkg.vhd:634
array(natural range <> ,natural range <> ) of slv( 107 downto 0) Slv108VectorArray
Definition: StdRtlPkg.vhd:561
array(natural range <> ,natural range <> ) of slv( 114 downto 0) Slv115VectorArray
Definition: StdRtlPkg.vhd:554
array(natural range <> ) of slv( 103 downto 0) Slv104Array
Definition: StdRtlPkg.vhd:307
array(natural range <> ) of slv( 39 downto 0) Slv40Array
Definition: StdRtlPkg.vhd:371
array(natural range <> ,natural range <> ) of slv( 13 downto 0) Slv14VectorArray
Definition: StdRtlPkg.vhd:655
array(natural range <> ) of slv( 24 downto 0) Slv25Array
Definition: StdRtlPkg.vhd:386
array(natural range <> ,natural range <> ) of slv( 86 downto 0) Slv87VectorArray
Definition: StdRtlPkg.vhd:582
BuildInfoRetType :=(buildString =>( others =>( others => '0')),fwVersion => X"00000000",gitHash =>( others => '0')) BUILD_INFO_DEFAULT_C
Definition: StdRtlPkg.vhd:687
array(natural range <> ) of slv( 181 downto 0) Slv182Array
Definition: StdRtlPkg.vhd:229
array(natural range <> ) of slv( 202 downto 0) Slv203Array
Definition: StdRtlPkg.vhd:208
array(natural range <> ) of slv( 133 downto 0) Slv134Array
Definition: StdRtlPkg.vhd:277
array(natural range <> ) of slv( 230 downto 0) Slv231Array
Definition: StdRtlPkg.vhd:180
array(natural range <> ,natural range <> ) of slv( 3 downto 0) Slv4VectorArray
Definition: StdRtlPkg.vhd:665
array(natural range <> ) of slv( 116 downto 0) Slv117Array
Definition: StdRtlPkg.vhd:294
array(natural range <> ,natural range <> ) of slv( 242 downto 0) Slv243VectorArray
Definition: StdRtlPkg.vhd:426
array(natural range <> ) of slv( 124 downto 0) Slv125Array
Definition: StdRtlPkg.vhd:286
array(natural range <> ) of slv( 203 downto 0) Slv204Array
Definition: StdRtlPkg.vhd:207
array(natural range <> ,natural range <> ) of slv( 57 downto 0) Slv58VectorArray
Definition: StdRtlPkg.vhd:611
integer minimumleft,right,left,right,
Definition: StdRtlPkg.vhd:104
array(natural range <> ) of slv( 16 downto 0) Slv17Array
Definition: StdRtlPkg.vhd:394
array(natural range <> ) of slv( 68 downto 0) Slv69Array
Definition: StdRtlPkg.vhd:342
array(natural range <> ) of slv( 15 downto 0) Slv16Array
Definition: StdRtlPkg.vhd:395
array(natural range <> ,natural range <> ) of slv( 166 downto 0) Slv167VectorArray
Definition: StdRtlPkg.vhd:502
array(natural range <> ,natural range <> ) of slv( 100 downto 0) Slv101VectorArray
Definition: StdRtlPkg.vhd:568
array(natural range <> ,natural range <> ) of slv( 36 downto 0) Slv37VectorArray
Definition: StdRtlPkg.vhd:632
array(natural range <> ) of slv( 222 downto 0) Slv223Array
Definition: StdRtlPkg.vhd:188
array(natural range <> ) of slv( 48 downto 0) Slv49Array
Definition: StdRtlPkg.vhd:362
array(natural range <> ,natural range <> ) of slv( 94 downto 0) Slv95VectorArray
Definition: StdRtlPkg.vhd:574
array(natural range <> ,natural range <> ) of slv( 211 downto 0) Slv212VectorArray
Definition: StdRtlPkg.vhd:457
array(natural range <> ,natural range <> ) of slv( 188 downto 0) Slv189VectorArray
Definition: StdRtlPkg.vhd:480
array(natural range <> ,natural range <> ) of slv( 83 downto 0) Slv84VectorArray
Definition: StdRtlPkg.vhd:585
array(natural range <> ) of slv( 232 downto 0) Slv233Array
Definition: StdRtlPkg.vhd:178
array(natural range <> ) of slv( 8 downto 0) Slv9Array
Definition: StdRtlPkg.vhd:402
array(natural range <> ) of slv( 253 downto 0) Slv254Array
Definition: StdRtlPkg.vhd:157
array(natural range <> ,natural range <> ) of slv( 189 downto 0) Slv190VectorArray
Definition: StdRtlPkg.vhd:479
array(natural range <> ,natural range <> ) of slv( 200 downto 0) Slv201VectorArray
Definition: StdRtlPkg.vhd:468
array(natural range <> ,natural range <> ) of slv( 59 downto 0) Slv60VectorArray
Definition: StdRtlPkg.vhd:609
array(natural range <> ) of slv( 196 downto 0) Slv197Array
Definition: StdRtlPkg.vhd:214
array(natural range <> ,natural range <> ) of slv( 225 downto 0) Slv226VectorArray
Definition: StdRtlPkg.vhd:443
array(natural range <> ) of slv( 32 downto 0) Slv33Array
Definition: StdRtlPkg.vhd:378
array(natural range <> ,natural range <> ) of integer IntegerVectorArray
Definition: StdRtlPkg.vhd:42
array(natural range <> ) of slv( 139 downto 0) Slv140Array
Definition: StdRtlPkg.vhd:271
array(natural range <> ) of slv( 29 downto 0) Slv30Array
Definition: StdRtlPkg.vhd:381
array(natural range <> ) of slv( 144 downto 0) Slv145Array
Definition: StdRtlPkg.vhd:266
array(natural range <> ,natural range <> ) of slv( 154 downto 0) Slv155VectorArray
Definition: StdRtlPkg.vhd:514
array(natural range <> ,natural range <> ) of slv( 224 downto 0) Slv225VectorArray
Definition: StdRtlPkg.vhd:444
array(natural range <> ,natural range <> ) of slv( 226 downto 0) Slv227VectorArray
Definition: StdRtlPkg.vhd:442
array(natural range <> ) of slv( 83 downto 0) Slv84Array
Definition: StdRtlPkg.vhd:327
array(natural range <> ) of slv( 65 downto 0) Slv66Array
Definition: StdRtlPkg.vhd:345
array(natural range <> ) of slv( 104 downto 0) Slv105Array
Definition: StdRtlPkg.vhd:306
array(natural range <> ,natural range <> ) of slv( 184 downto 0) Slv185VectorArray
Definition: StdRtlPkg.vhd:484
array(natural range <> ,natural range <> ) of slv( 84 downto 0) Slv85VectorArray
Definition: StdRtlPkg.vhd:584
array(natural range <> ) of slv( 122 downto 0) Slv123Array
Definition: StdRtlPkg.vhd:288
array(natural range <> ,natural range <> ) of slv( 230 downto 0) Slv231VectorArray
Definition: StdRtlPkg.vhd:438
array(natural range <> ,natural range <> ) of slv( 103 downto 0) Slv104VectorArray
Definition: StdRtlPkg.vhd:565
slv lfsrShiftlfsr,taps,input,
Definition: StdRtlPkg.vhd:101
array(natural range <> ,natural range <> ) of slv( 32 downto 0) Slv33VectorArray
Definition: StdRtlPkg.vhd:636
array(natural range <> ) of slv( 35 downto 0) Slv36Array
Definition: StdRtlPkg.vhd:375
array(natural range <> ) of slv( 226 downto 0) Slv227Array
Definition: StdRtlPkg.vhd:184
array(natural range <> ,natural range <> ) of slv( 178 downto 0) Slv179VectorArray
Definition: StdRtlPkg.vhd:490
array(natural range <> ) of slv( 4 downto 0) Slv5Array
Definition: StdRtlPkg.vhd:406
array(natural range <> ) of slv( 161 downto 0) Slv162Array
Definition: StdRtlPkg.vhd:249
array(natural range <> ) of slv( 57 downto 0) Slv58Array
Definition: StdRtlPkg.vhd:353
array(natural range <> ,natural range <> ) of slv( 12 downto 0) Slv13VectorArray
Definition: StdRtlPkg.vhd:656
slv bitReversea,
Definition: StdRtlPkg.vhd:59
array(natural range <> ,natural range <> ) of slv( 193 downto 0) Slv194VectorArray
Definition: StdRtlPkg.vhd:475
array(natural range <> ,natural range <> ) of slv( 69 downto 0) Slv70VectorArray
Definition: StdRtlPkg.vhd:599
array(natural range <> ) of slv( 45 downto 0) Slv46Array
Definition: StdRtlPkg.vhd:365
array(natural range <> ,natural range <> ) of slv( 66 downto 0) Slv67VectorArray
Definition: StdRtlPkg.vhd:602
array(natural range <> ,natural range <> ) of slv( 148 downto 0) Slv149VectorArray
Definition: StdRtlPkg.vhd:520
array(natural range <> ) of slv( 80 downto 0) Slv81Array
Definition: StdRtlPkg.vhd:330
array(natural range <> ) of slv( 78 downto 0) Slv79Array
Definition: StdRtlPkg.vhd:332
natural log2number,
Definition: StdRtlPkg.vhd:57
array(natural range <> ,natural range <> ) of slv( 254 downto 0) Slv255VectorArray
Definition: StdRtlPkg.vhd:414
array(natural range <> ,natural range <> ) of slv( 143 downto 0) Slv144VectorArray
Definition: StdRtlPkg.vhd:525
real "/"L,R,
Definition: StdRtlPkg.vhd:123
array(natural range <> ,natural range <> ) of slv( 24 downto 0) Slv25VectorArray
Definition: StdRtlPkg.vhd:644
array(natural range <> ) of slv( 126 downto 0) Slv127Array
Definition: StdRtlPkg.vhd:284
array(natural range <> ,natural range <> ) of slv( 40 downto 0) Slv41VectorArray
Definition: StdRtlPkg.vhd:628
array(natural range <> ,natural range <> ) of slv( 172 downto 0) Slv173VectorArray
Definition: StdRtlPkg.vhd:496
array(natural range <> ) of slv( 141 downto 0) Slv142Array
Definition: StdRtlPkg.vhd:269
array(natural range <> ) of slv( 197 downto 0) Slv198Array
Definition: StdRtlPkg.vhd:213
array(natural range <> ) of slv( 140 downto 0) Slv141Array
Definition: StdRtlPkg.vhd:270
array(natural range <> ) of slv( 239 downto 0) Slv240Array
Definition: StdRtlPkg.vhd:171
array(natural range <> ) of slv( 54 downto 0) Slv55Array
Definition: StdRtlPkg.vhd:356
array(natural range <> ) of slv( 169 downto 0) Slv170Array
Definition: StdRtlPkg.vhd:241
array(natural range <> ) of slv( 247 downto 0) Slv248Array
Definition: StdRtlPkg.vhd:163
array(natural range <> ) of slv( 89 downto 0) Slv90Array
Definition: StdRtlPkg.vhd:321
array(natural range <> ,natural range <> ) of slv( 78 downto 0) Slv79VectorArray
Definition: StdRtlPkg.vhd:590
array(natural range <> ) of slv( 73 downto 0) Slv74Array
Definition: StdRtlPkg.vhd:337
array(natural range <> ,natural range <> ) of slv( 42 downto 0) Slv43VectorArray
Definition: StdRtlPkg.vhd:626
array(natural range <> ) of slv( 240 downto 0) Slv241Array
Definition: StdRtlPkg.vhd:170
array(natural range <> ,natural range <> ) of slv( 155 downto 0) Slv156VectorArray
Definition: StdRtlPkg.vhd:513
array(natural range <> ,natural range <> ) of slv( 244 downto 0) Slv245VectorArray
Definition: StdRtlPkg.vhd:424
array(natural range <> ) of slv( 229 downto 0) Slv230Array
Definition: StdRtlPkg.vhd:181
natural wordCountnumber,wordSize,
Definition: StdRtlPkg.vhd:60
array(natural range <> ) of slv( 52 downto 0) Slv53Array
Definition: StdRtlPkg.vhd:358
array(natural range <> ,natural range <> ) of slv( 202 downto 0) Slv203VectorArray
Definition: StdRtlPkg.vhd:466
array(natural range <> ,natural range <> ) of slv( 222 downto 0) Slv223VectorArray
Definition: StdRtlPkg.vhd:446
array(natural range <> ,natural range <> ) of slv( 228 downto 0) Slv229VectorArray
Definition: StdRtlPkg.vhd:440
array(natural range <> ,natural range <> ) of slv( 197 downto 0) Slv198VectorArray
Definition: StdRtlPkg.vhd:471
array(natural range <> ,natural range <> ) of slv( 179 downto 0) Slv180VectorArray
Definition: StdRtlPkg.vhd:489
slv decodev,
Definition: StdRtlPkg.vhd:66
array(natural range <> ,natural range <> ) of boolean BooleanVectorArray
Definition: StdRtlPkg.vhd:47
array(natural range <> ) of slv( 175 downto 0) Slv176Array
Definition: StdRtlPkg.vhd:235
array(natural range <> ) of slv( 185 downto 0) Slv186Array
Definition: StdRtlPkg.vhd:225
array(natural range <> ,natural range <> ) of slv( 8 downto 0) Slv9VectorArray
Definition: StdRtlPkg.vhd:660
array(natural range <> ,natural range <> ) of slv( 232 downto 0) Slv233VectorArray
Definition: StdRtlPkg.vhd:436
array(natural range <> ) of slv( 56 downto 0) Slv57Array
Definition: StdRtlPkg.vhd:354
array(natural range <> ,natural range <> ) of slv( 4 downto 0) Slv5VectorArray
Definition: StdRtlPkg.vhd:664
array(natural range <> ) of slv( 90 downto 0) Slv91Array
Definition: StdRtlPkg.vhd:320
array(natural range <> ) of slv( 150 downto 0) Slv151Array
Definition: StdRtlPkg.vhd:260
array(natural range <> ,natural range <> ) of slv( 111 downto 0) Slv112VectorArray
Definition: StdRtlPkg.vhd:557
array(natural range <> ,natural range <> ) of slv( 170 downto 0) Slv171VectorArray
Definition: StdRtlPkg.vhd:498
array(natural range <> ,natural range <> ) of slv( 21 downto 0) Slv22VectorArray
Definition: StdRtlPkg.vhd:647
array(natural range <> ,natural range <> ) of slv( 220 downto 0) Slv221VectorArray
Definition: StdRtlPkg.vhd:448
array(natural range <> ,natural range <> ) of slv( 227 downto 0) Slv228VectorArray
Definition: StdRtlPkg.vhd:441
array(natural range <> ) of slv( 180 downto 0) Slv181Array
Definition: StdRtlPkg.vhd:230
array(natural range <> ) of slv( 42 downto 0) Slv43Array
Definition: StdRtlPkg.vhd:368
array(natural range <> ) of slv( 198 downto 0) Slv199Array
Definition: StdRtlPkg.vhd:212
array(natural range <> ,natural range <> ) of slv( 207 downto 0) Slv208VectorArray
Definition: StdRtlPkg.vhd:461
array(natural range <> ,natural range <> ) of slv( 235 downto 0) Slv236VectorArray
Definition: StdRtlPkg.vhd:433
array(natural range <> ,natural range <> ) of slv( 204 downto 0) Slv205VectorArray
Definition: StdRtlPkg.vhd:464
array(natural range <> ) of slv( 170 downto 0) Slv171Array
Definition: StdRtlPkg.vhd:240
array(natural range <> ,natural range <> ) of slv( 51 downto 0) Slv52VectorArray
Definition: StdRtlPkg.vhd:617
array(natural range <> ,natural range <> ) of slv( 101 downto 0) Slv102VectorArray
Definition: StdRtlPkg.vhd:567
array(natural range <> ,natural range <> ) of slv( 80 downto 0) Slv81VectorArray
Definition: StdRtlPkg.vhd:588
sl genmuxs,v,s,v,
Definition: StdRtlPkg.vhd:67
array(natural range <> ,natural range <> ) of slv( 90 downto 0) Slv91VectorArray
Definition: StdRtlPkg.vhd:578
array(natural range <> ) of slv( 7 downto 0) Slv8Array
Definition: StdRtlPkg.vhd:403
BuildInfoType :=( others => '0') BUILD_INFO_DEFAULT_SLV_C
Definition: StdRtlPkg.vhd:692
array(natural range <> ,natural range <> ) of slv( 229 downto 0) Slv230VectorArray
Definition: StdRtlPkg.vhd:439
array(natural range <> ,natural range <> ) of slv( 162 downto 0) Slv163VectorArray
Definition: StdRtlPkg.vhd:506
array(natural range <> ,natural range <> ) of slv( 241 downto 0) Slv242VectorArray
Definition: StdRtlPkg.vhd:427
array(natural range <> ) of slv( 67 downto 0) Slv68Array
Definition: StdRtlPkg.vhd:343
array(natural range <> ) of boolean BooleanArray
Definition: StdRtlPkg.vhd:38
array(natural range <> ,natural range <> ) of slv( 128 downto 0) Slv129VectorArray
Definition: StdRtlPkg.vhd:540
array(natural range <> ) of slv( 187 downto 0) Slv188Array
Definition: StdRtlPkg.vhd:223
array(natural range <> ) of slv( 51 downto 0) Slv52Array
Definition: StdRtlPkg.vhd:359
slv slvAllsize,value,
Definition: StdRtlPkg.vhd:50
array(natural range <> ) of slv( 244 downto 0) Slv245Array
Definition: StdRtlPkg.vhd:166
array(natural range <> ,natural range <> ) of slv( 186 downto 0) Slv187VectorArray
Definition: StdRtlPkg.vhd:482
array(natural range <> ,natural range <> ) of slv( 97 downto 0) Slv98VectorArray
Definition: StdRtlPkg.vhd:571
array(natural range <> ,natural range <> ) of slv( 168 downto 0) Slv169VectorArray
Definition: StdRtlPkg.vhd:500
array(natural range <> ) of slv( 209 downto 0) Slv210Array
Definition: StdRtlPkg.vhd:201
array(natural range <> ,natural range <> ) of slv( 71 downto 0) Slv72VectorArray
Definition: StdRtlPkg.vhd:597
array(natural range <> ) of slv( 147 downto 0) Slv148Array
Definition: StdRtlPkg.vhd:263
array(natural range <> ,natural range <> ) of slv( 23 downto 0) Slv24VectorArray
Definition: StdRtlPkg.vhd:645
array(natural range <> ) of slv( 134 downto 0) Slv135Array
Definition: StdRtlPkg.vhd:276
array(natural range <> ,natural range <> ) of slv( 165 downto 0) Slv166VectorArray
Definition: StdRtlPkg.vhd:503
array(natural range <> ,natural range <> ) of slv( 138 downto 0) Slv139VectorArray
Definition: StdRtlPkg.vhd:530
array(natural range <> ) of slv( 183 downto 0) Slv184Array
Definition: StdRtlPkg.vhd:227
array(natural range <> ,natural range <> ) of slv( 35 downto 0) Slv36VectorArray
Definition: StdRtlPkg.vhd:633
array(natural range <> ,natural range <> ) of slv( 15 downto 0) Slv16VectorArray
Definition: StdRtlPkg.vhd:653
slv slvOnesize,
Definition: StdRtlPkg.vhd:52
slv resizevec,newSize,pad,
Definition: StdRtlPkg.vhd:138
array(natural range <> ) of slv( 220 downto 0) Slv221Array
Definition: StdRtlPkg.vhd:190
array(natural range <> ,natural range <> ) of slv( 55 downto 0) Slv56VectorArray
Definition: StdRtlPkg.vhd:613
array(natural range <> ,natural range <> ) of slv( 246 downto 0) Slv247VectorArray
Definition: StdRtlPkg.vhd:422
array(natural range <> ) of slv( 107 downto 0) Slv108Array
Definition: StdRtlPkg.vhd:303
array(natural range <> ) of slv( 37 downto 0) Slv38Array
Definition: StdRtlPkg.vhd:373
array(natural range <> ) of slv( 102 downto 0) Slv103Array
Definition: StdRtlPkg.vhd:308
array(natural range <> ,natural range <> ) of slv( 215 downto 0) Slv216VectorArray
Definition: StdRtlPkg.vhd:453
array(natural range <> ) of integer IntegerArray
Definition: StdRtlPkg.vhd:33
array(natural range <> ) of slv( 3 downto 0) Slv4Array
Definition: StdRtlPkg.vhd:407
array(natural range <> ) of slv( 242 downto 0) Slv243Array
Definition: StdRtlPkg.vhd:168
array(natural range <> ,natural range <> ) of slv( 250 downto 0) Slv251VectorArray
Definition: StdRtlPkg.vhd:418
real "*"L,R,
Definition: StdRtlPkg.vhd:121
array(natural range <> ,natural range <> ) of slv( 2 downto 0) Slv3VectorArray
Definition: StdRtlPkg.vhd:666
array(natural range <> ,natural range <> ) of slv( 95 downto 0) Slv96VectorArray
Definition: StdRtlPkg.vhd:573
array(natural range <> ) of slv( 135 downto 0) Slv136Array
Definition: StdRtlPkg.vhd:275
array(natural range <> ,natural range <> ) of slv( 132 downto 0) Slv133VectorArray
Definition: StdRtlPkg.vhd:536
array(natural range <> ) of slv( 96 downto 0) Slv97Array
Definition: StdRtlPkg.vhd:314
array(natural range <> ) of slv( 167 downto 0) Slv168Array
Definition: StdRtlPkg.vhd:243
array(natural range <> ,natural range <> ) of slv( 30 downto 0) Slv31VectorArray
Definition: StdRtlPkg.vhd:638
array(natural range <> ) of slv( 72 downto 0) Slv73Array
Definition: StdRtlPkg.vhd:338
array(natural range <> ) of slv( 44 downto 0) Slv45Array
Definition: StdRtlPkg.vhd:366
unsigned grayEncodevec,
Definition: StdRtlPkg.vhd:95
array(natural range <> ) of slv( 110 downto 0) Slv111Array
Definition: StdRtlPkg.vhd:300
array(natural range <> ) of slv( 46 downto 0) Slv47Array
Definition: StdRtlPkg.vhd:364
slv( 31 downto 0) fwVersion
Definition: StdRtlPkg.vhd:681
array(natural range <> ,natural range <> ) of slv( 182 downto 0) Slv183VectorArray
Definition: StdRtlPkg.vhd:486
std_logic_vector slv
Definition: StdRtlPkg.vhd:29
array(natural range <> ,natural range <> ) of slv( 20 downto 0) Slv21VectorArray
Definition: StdRtlPkg.vhd:648
BuildInfoRetType toBuildInfodin,
Definition: StdRtlPkg.vhd:684
array(natural range <> ,natural range <> ) of slv( 87 downto 0) Slv88VectorArray
Definition: StdRtlPkg.vhd:581
array(natural range <> ,natural range <> ) of slv( 139 downto 0) Slv140VectorArray
Definition: StdRtlPkg.vhd:529
array(natural range <> ,natural range <> ) of slv( 214 downto 0) Slv215VectorArray
Definition: StdRtlPkg.vhd:454
array(natural range <> ) of slv( 9 downto 0) Slv10Array
Definition: StdRtlPkg.vhd:401
array(natural range <> ,natural range <> ) of slv( 187 downto 0) Slv188VectorArray
Definition: StdRtlPkg.vhd:481
array(natural range <> ) of slv( 179 downto 0) Slv180Array
Definition: StdRtlPkg.vhd:231
array(natural range <> ,natural range <> ) of slv( 41 downto 0) Slv42VectorArray
Definition: StdRtlPkg.vhd:627
array(natural range <> ,natural range <> ) of slv( 194 downto 0) Slv195VectorArray
Definition: StdRtlPkg.vhd:474
Slv32Array( 0 to 63) buildString
Definition: StdRtlPkg.vhd:680
array(natural range <> ) of slv( 1 downto 0) Slv2Array
Definition: StdRtlPkg.vhd:409
array(natural range <> ) of slv( 17 downto 0) Slv18Array
Definition: StdRtlPkg.vhd:393
array(natural range <> ) of slv( 176 downto 0) Slv177Array
Definition: StdRtlPkg.vhd:234
array(natural range <> ) of slv( 100 downto 0) Slv101Array
Definition: StdRtlPkg.vhd:310
array(natural range <> ,natural range <> ) of slv( 208 downto 0) Slv209VectorArray
Definition: StdRtlPkg.vhd:460
array(natural range <> ,natural range <> ) of slv( 231 downto 0) Slv232VectorArray
Definition: StdRtlPkg.vhd:437
array(natural range <> ,natural range <> ) of slv( 223 downto 0) Slv224VectorArray
Definition: StdRtlPkg.vhd:445
array(natural range <> ,natural range <> ) of slv( 123 downto 0) Slv124VectorArray
Definition: StdRtlPkg.vhd:545
array(natural range <> ,natural range <> ) of slv( 38 downto 0) Slv39VectorArray
Definition: StdRtlPkg.vhd:630