1 ------------------------------------------------------------------------------- 2 -- File : Gtx7QuadPll.vhd 3 -- Company : SLAC National Accelerator Laboratory 4 -- Created : 2013-06-06 5 -- Last update: 2016-03-08 6 ------------------------------------------------------------------------------- 7 -- Description: Wrapper for Xilinx 7-series GTX's QPLL 8 ------------------------------------------------------------------------------- 9 -- This file is part of 'SLAC Firmware Standard Library'. 10 -- It is subject to the license terms in the LICENSE.txt file found in the 11 -- top-level directory of this distribution and at: 12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. 13 -- No part of 'SLAC Firmware Standard Library', including this file, 14 -- may be copied, modified, propagated, or distributed except according to 15 -- the terms contained in the LICENSE.txt file. 16 ------------------------------------------------------------------------------- 19 use ieee.std_logic_1164.
all;
26 use unisim.vcomponents.
all;
29 --! @ingroup xilinx_7Series_gtx7 36 QPLL_CFG_G : := x"0680181";
-- QPLL_CFG_G[6] selects the QPLL frequency band: 0 = upper band, 1 = lower band 57 end entity Gtx7QuadPll;
78 -------------------------------------------------------------------------------------------------- 79 -- QPLL clock select. Only ever use 1 clock to drive qpll. Never switch clocks. 80 -------------------------------------------------------------------------------------------------- 91 -- Simulation attributes 92 SIM_RESET_SPEEDUP =>
"TRUE",
96 ------------------COMMON BLOCK Attributes--------------- 97 BIAS_CFG =>
(x"0000040000001000"
),
98 COMMON_CFG =>
(x"00000000"
),
100 QPLL_CLKOUT_CFG =>
("0000"
),
101 QPLL_COARSE_FREQ_OVRD =>
("010000"
),
102 QPLL_COARSE_FREQ_OVRD_EN =>
('0'
),
103 QPLL_CP =>
("0000011111"
),
104 QPLL_CP_MONITOR_EN =>
('0'
),
105 QPLL_DMONITOR_SEL =>
('0'
),
107 QPLL_FBDIV_MONITOR_EN =>
('0'
),
109 QPLL_INIT_CFG =>
(x"000006"
),
110 QPLL_LOCK_CFG =>
(x"21E8"
),
111 QPLL_LPF =>
("1111"
),
114 ------------- Common Block - Dynamic Reconfiguration Port (DRP) ----------- 122 ---------------------- Common Block - Ref Clock Ports --------------------- 130 ------------------------- Common Block - QPLL Ports ----------------------- 131 QPLLDMONITOR =>
open,
132 ----------------------- Common Block - Clocking Ports ---------------------- 135 REFCLKOUTMONITOR =>
open,
136 ------------------------- Common Block - QPLL Ports ------------------------ 137 QPLLFBCLKLOST =>
open,
146 QPLLRSVD1 => "
0000000000000000",
147 QPLLRSVD2 => "
11111",
148 --------------------------------- QPLL Ports ------------------------------- 152 BGRCALOVRD => "
00000",
153 PMARSVD => "
00000000",
183 end architecture mapping;
ADDR_WIDTH_Gpositive range 1 to 32:= 16
QPLL_FBDIV_Gbit_vector := "0100100000"
in axilWriteMasterAxiLiteWriteMasterType := AXI_LITE_WRITE_MASTER_INIT_C
out drpAddrslv( ADDR_WIDTH_G- 1 downto 0)
in axilReadMasterAxiLiteReadMasterType := AXI_LITE_READ_MASTER_INIT_C
EN_ARBITRATION_Gboolean := false
DATA_WIDTH_Gpositive range 1 to 32:= 16
out axilReadSlaveAxiLiteReadSlaveType
in drpDoslv( DATA_WIDTH_G- 1 downto 0)
COMMON_CLK_Gboolean := false
out axilReadSlaveAxiLiteReadSlaveType
QPLL_CFG_Gbit_vector := x"0680181"
AXI_ERROR_RESP_Gslv( 1 downto 0) := AXI_RESP_DECERR_C
out axilWriteSlaveAxiLiteWriteSlaveType
slv( 1 downto 0) := "11" AXI_RESP_DECERR_C
in axilReadMasterAxiLiteReadMasterType
SIM_RESET_SPEEDUP_Gstring := "TRUE"
out axilWriteSlaveAxiLiteWriteSlaveType
TIMEOUT_Gpositive := 4096
SIM_VERSION_Gstring := "4.0"
AxiLiteReadMasterType :=(araddr =>( others => '0'),arprot =>( others => '0'),arvalid => '0',rready => '1') AXI_LITE_READ_MASTER_INIT_C
QPLL_REFCLK_DIV_Ginteger := 1
QPLL_REFCLK_SEL_Gbit_vector := "001"
in axilWriteMasterAxiLiteWriteMasterType
AxiLiteWriteMasterType :=(awaddr =>( others => '0'),awprot =>( others => '0'),awvalid => '0',wdata =>( others => '0'),wstrb =>( others => '1'),wvalid => '0',bready => '1') AXI_LITE_WRITE_MASTER_INIT_C
AXI_ERROR_RESP_Gslv( 1 downto 0) := AXI_RESP_DECERR_C
QPLL_FBDIV_RATIO_Gbit := '1'
gtxe2_common gtxe2_common_0_igtxe2_common_0_i
in qPllPowerDownsl := '0'
out drpDislv( DATA_WIDTH_G- 1 downto 0)