SURF  1.0
GigEthGtx7Wrapper.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : GigEthGtx7Wrapper.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2015-03-30
5 -- Last update: 2016-09-29
6 -------------------------------------------------------------------------------
7 -- Description: Gtx7 Wrapper for 1000BASE-X Ethernet
8 -- Note: This module supports up to a MGT QUAD of 1GigE interfaces
9 -------------------------------------------------------------------------------
10 -- This file is part of 'SLAC Firmware Standard Library'.
11 -- It is subject to the license terms in the LICENSE.txt file found in the
12 -- top-level directory of this distribution and at:
13 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
14 -- No part of 'SLAC Firmware Standard Library', including this file,
15 -- may be copied, modified, propagated, or distributed except according to
16 -- the terms contained in the LICENSE.txt file.
17 -------------------------------------------------------------------------------
18 
19 library ieee;
20 use ieee.std_logic_1164.all;
21 
22 use work.StdRtlPkg.all;
23 use work.AxiStreamPkg.all;
24 use work.AxiLitePkg.all;
25 use work.GigEthPkg.all;
26 
27 library unisim;
28 use unisim.vcomponents.all;
29 
30 --! @see entity
31  --! @ingroup ethernet_GigEthCore_gtx7
33  generic (
34  TPD_G : time := 1 ns;
35  NUM_LANE_G : natural range 1 to 4 := 1;
36  -- Clocking Configurations
37  USE_GTREFCLK_G : boolean := false; -- FALSE: gtClkP/N, TRUE: gtRefClk
38  CLKIN_PERIOD_G : real := 8.0;
39  DIVCLK_DIVIDE_G : positive := 1;
40  CLKFBOUT_MULT_F_G : real := 8.0;
41  CLKOUT0_DIVIDE_F_G : real := 8.0;
42  -- AXI-Lite Configurations
43  EN_AXI_REG_G : boolean := false;
45  -- AXI Streaming Configurations
47  port (
48  -- Local Configurations
49  localMac : in Slv48Array(NUM_LANE_G-1 downto 0) := (others => MAC_ADDR_INIT_C);
50  -- Streaming DMA Interface
51  dmaClk : in slv(NUM_LANE_G-1 downto 0);
52  dmaRst : in slv(NUM_LANE_G-1 downto 0);
57  -- Slave AXI-Lite Interface
58  axiLiteClk : in slv(NUM_LANE_G-1 downto 0) := (others => '0');
59  axiLiteRst : in slv(NUM_LANE_G-1 downto 0) := (others => '0');
64  -- Misc. Signals
65  extRst : in sl := '0';
66  phyClk : out sl;
67  phyRst : out sl;
68  phyReady : out slv(NUM_LANE_G-1 downto 0);
69  sigDet : in slv(NUM_LANE_G-1 downto 0) := (others => '1');
70  -- MGT Clock Port (156.25 MHz or 312.5 MHz)
71  gtRefClk : in sl := '0';
72  gtClkP : in sl := '1';
73  gtClkN : in sl := '0';
74  -- MGT Ports
75  gtTxP : out slv(NUM_LANE_G-1 downto 0);
76  gtTxN : out slv(NUM_LANE_G-1 downto 0);
77  gtRxP : in slv(NUM_LANE_G-1 downto 0);
78  gtRxN : in slv(NUM_LANE_G-1 downto 0));
79 end GigEthGtx7Wrapper;
80 
81 architecture mapping of GigEthGtx7Wrapper is
82 
83  signal gtClk : sl;
84  signal gtClkBufg : sl;
85  signal refClk : sl;
86  signal refRst : sl;
87  signal sysClk125 : sl;
88  signal sysRst125 : sl;
89  signal sysClk62 : sl;
90  signal sysRst62 : sl;
91 
92 begin
93 
94  phyClk <= sysClk125;
95  phyRst <= sysRst125;
96 
97  -----------------------------
98  -- Select the Reference Clock
99  -----------------------------
100 
101  IBUFDS_GTE2_Inst : IBUFDS_GTE2
102  port map (
103  I => gtClkP,
104  IB => gtClkN,
105  CEB => '0',
106  ODIV2 => open,
107  O => gtClk);
108 
109  BUFG_Inst : BUFG
110  port map (
111  I => gtClk,
112  O => gtClkBufg);
113 
114  refClk <= gtClkBufg when(USE_GTREFCLK_G = false) else gtRefClk;
115 
116  -----------------
117  -- Power Up Reset
118  -----------------
119  PwrUpRst_Inst : entity work.PwrUpRst
120  generic map (
121  TPD_G => TPD_G)
122  port map (
123  arst => extRst,
124  clk => refClk,
125  rstOut => refRst);
126 
127  ----------------
128  -- Clock Manager
129  ----------------
130  U_MMCM : entity work.ClockManager7
131  generic map(
132  TPD_G => TPD_G,
133  TYPE_G => "MMCM",
134  INPUT_BUFG_G => false,
135  FB_BUFG_G => false,
136  RST_IN_POLARITY_G => '1',
137  NUM_CLOCKS_G => 2,
138  -- MMCM attributes
139  BANDWIDTH_G => "OPTIMIZED",
144  CLKOUT1_DIVIDE_G => integer(2.0*CLKOUT0_DIVIDE_F_G))
145  port map(
146  clkIn => refClk,
147  rstIn => refRst,
148  clkOut(0) => sysClk125,
149  clkOut(1) => sysClk62,
150  rstOut(0) => sysRst125,
151  rstOut(1) => sysRst62);
152 
153  --------------
154  -- GigE Module
155  --------------
156  GEN_LANE :
157  for i in 0 to NUM_LANE_G-1 generate
158 
159  U_GigEthGtx7 : entity work.GigEthGtx7
160  generic map (
161  TPD_G => TPD_G,
162  -- AXI-Lite Configurations
165  -- AXI Streaming Configurations
167  port map (
168  -- Local Configurations
169  localMac => localMac(i),
170  -- Streaming DMA Interface
171  dmaClk => dmaClk(i),
172  dmaRst => dmaRst(i),
174  dmaIbSlave => dmaIbSlaves(i),
176  dmaObSlave => dmaObSlaves(i),
177  -- Slave AXI-Lite Interface
178  axiLiteClk => axiLiteClk(i),
179  axiLiteRst => axiLiteRst(i),
184  -- PHY + MAC signals
185  sysClk62 => sysClk62,
186  sysClk125 => sysClk125,
187  sysRst125 => sysRst125,
188  extRst => refRst,
189  phyReady => phyReady(i),
190  sigDet => sigDet(i),
191  -- MGT Ports
192  gtTxP => gtTxP(i),
193  gtTxN => gtTxN(i),
194  gtRxP => gtRxP(i),
195  gtRxN => gtRxN(i));
196 
197  end generate GEN_LANE;
198 
199 end mapping;
array(natural range <> ) of AxiStreamSlaveType AxiStreamSlaveArray
in axiLiteClkslv( NUM_LANE_G- 1 downto 0) :=( others => '0')
in gtRxNslv( NUM_LANE_G- 1 downto 0)
out dmaObSlavesAxiStreamSlaveArray( NUM_LANE_G- 1 downto 0)
out rstOutsl
Definition: PwrUpRst.vhd:39
TPD_Gtime := 1 ns
Definition: PwrUpRst.vhd:30
array(natural range <> ) of AxiLiteWriteSlaveType AxiLiteWriteSlaveArray
Definition: AxiLitePkg.vhd:164
DIVCLK_DIVIDE_Gpositive := 1
in axiLiteRstslv( NUM_LANE_G- 1 downto 0) :=( others => '0')
in dmaIbSlaveAxiStreamSlaveType
Definition: GigEthGtx7.vhd:44
std_logic sl
Definition: StdRtlPkg.vhd:28
out gtTxPslv( NUM_LANE_G- 1 downto 0)
in axiLiteWriteMastersAxiLiteWriteMasterArray( NUM_LANE_G- 1 downto 0) :=( others => AXI_LITE_WRITE_MASTER_INIT_C)
in gtRxPsl
Definition: GigEthGtx7.vhd:64
CLKFBOUT_MULT_F_Greal := 8.0
out axiLiteWriteSlavesAxiLiteWriteSlaveArray( NUM_LANE_G- 1 downto 0)
AXI_ERROR_RESP_Gslv( 1 downto 0) := AXI_RESP_SLVERR_C
Definition: GigEthGtx7.vhd:34
NUM_LANE_Gnatural range 1 to 4:= 1
CLKIN_PERIOD_Greal := 10.0
in axiLiteRstsl := '0'
Definition: GigEthGtx7.vhd:49
array(natural range <> ) of AxiLiteReadMasterType AxiLiteReadMasterArray
Definition: AxiLitePkg.vhd:77
EN_AXI_REG_Gboolean := false
Definition: GigEthGtx7.vhd:33
EN_AXI_REG_Gboolean := false
in axiLiteClksl := '0'
Definition: GigEthGtx7.vhd:48
in sysClk125sl
Definition: GigEthGtx7.vhd:56
in sigDetsl := '1'
Definition: GigEthGtx7.vhd:60
RST_IN_POLARITY_Gsl := '1'
USE_GTREFCLK_Gboolean := false
in sysRst125sl
Definition: GigEthGtx7.vhd:57
in rstInsl := '0'
out gtTxPsl
Definition: GigEthGtx7.vhd:62
TPD_Gtime := 1 ns
in localMacSlv48Array( NUM_LANE_G- 1 downto 0) :=( others => MAC_ADDR_INIT_C)
INPUT_BUFG_Gboolean := true
in sigDetslv( NUM_LANE_G- 1 downto 0) :=( others => '1')
in gtRxPslv( NUM_LANE_G- 1 downto 0)
in sysClk62sl
Definition: GigEthGtx7.vhd:55
in localMacslv( 47 downto 0) := MAC_ADDR_INIT_C
Definition: GigEthGtx7.vhd:39
AXIS_CONFIG_GAxiStreamConfigArray( 3 downto 0) :=( others => AXI_STREAM_CONFIG_INIT_C)
in axiLiteWriteMasterAxiLiteWriteMasterType := AXI_LITE_WRITE_MASTER_INIT_C
Definition: GigEthGtx7.vhd:52
in arstsl :=not IN_POLARITY_G
Definition: PwrUpRst.vhd:37
in gtRxNsl
Definition: GigEthGtx7.vhd:65
TPD_Gtime := 1 ns
Definition: GigEthGtx7.vhd:31
array(natural range <> ) of AxiStreamConfigType AxiStreamConfigArray
slv( 1 downto 0) := "10" AXI_RESP_SLVERR_C
Definition: AxiLitePkg.vhd:36
FB_BUFG_Gboolean := true
AXIS_CONFIG_GAxiStreamConfigType := AXI_STREAM_CONFIG_INIT_C
Definition: GigEthGtx7.vhd:36
CLKOUT0_DIVIDE_F_Greal := 8.0
BANDWIDTH_Gstring := "OPTIMIZED"
CLKOUT1_DIVIDE_Ginteger range 1 to 128:= 1
in dmaClksl
Definition: GigEthGtx7.vhd:41
in dmaRstsl
Definition: GigEthGtx7.vhd:42
CLKFBOUT_MULT_F_Greal range 1.0 to 64.0:= 1.0
out phyReadysl
Definition: GigEthGtx7.vhd:59
DIVCLK_DIVIDE_Ginteger range 1 to 106:= 1
in dmaObMastersAxiStreamMasterArray( NUM_LANE_G- 1 downto 0)
out dmaIbMastersAxiStreamMasterArray( NUM_LANE_G- 1 downto 0)
out dmaIbMasterAxiStreamMasterType
Definition: GigEthGtx7.vhd:43
in clksl
Definition: PwrUpRst.vhd:38
array(natural range <> ) of AxiStreamMasterType AxiStreamMasterArray
in axiLiteReadMasterAxiLiteReadMasterType := AXI_LITE_READ_MASTER_INIT_C
Definition: GigEthGtx7.vhd:50
in axiLiteReadMastersAxiLiteReadMasterArray( NUM_LANE_G- 1 downto 0) :=( others => AXI_LITE_READ_MASTER_INIT_C)
in extRstsl
Definition: GigEthGtx7.vhd:58
out axiLiteReadSlaveAxiLiteReadSlaveType
Definition: GigEthGtx7.vhd:51
in dmaIbSlavesAxiStreamSlaveArray( NUM_LANE_G- 1 downto 0)
AxiLiteReadMasterType :=(araddr =>( others => '0'),arprot =>( others => '0'),arvalid => '0',rready => '1') AXI_LITE_READ_MASTER_INIT_C
Definition: AxiLitePkg.vhd:69
out axiLiteWriteSlaveAxiLiteWriteSlaveType
Definition: GigEthGtx7.vhd:53
out phyReadyslv( NUM_LANE_G- 1 downto 0)
AxiStreamConfigType :=(TSTRB_EN_C => false,TDATA_BYTES_C => 16,TDEST_BITS_C => 4,TID_BITS_C => 0,TKEEP_MODE_C => TKEEP_NORMAL_C,TUSER_BITS_C => 4,TUSER_MODE_C => TUSER_NORMAL_C) AXI_STREAM_CONFIG_INIT_C
array(natural range <> ) of AxiLiteWriteMasterType AxiLiteWriteMasterArray
Definition: AxiLitePkg.vhd:136
CLKOUT0_DIVIDE_F_Greal range 1.0 to 128.0:= 1.0
out gtTxNslv( NUM_LANE_G- 1 downto 0)
AxiLiteWriteMasterType :=(awaddr =>( others => '0'),awprot =>( others => '0'),awvalid => '0',wdata =>( others => '0'),wstrb =>( others => '1'),wvalid => '0',bready => '1') AXI_LITE_WRITE_MASTER_INIT_C
Definition: AxiLitePkg.vhd:125
out axiLiteReadSlavesAxiLiteReadSlaveArray( NUM_LANE_G- 1 downto 0)
in dmaRstslv( NUM_LANE_G- 1 downto 0)
AXI_ERROR_RESP_Gslv( 1 downto 0) := AXI_RESP_SLVERR_C
array(natural range <> ) of AxiLiteReadSlaveType AxiLiteReadSlaveArray
Definition: AxiLitePkg.vhd:103
in dmaClkslv( NUM_LANE_G- 1 downto 0)
TYPE_Gstring := "MMCM"
in dmaObMasterAxiStreamMasterType
Definition: GigEthGtx7.vhd:45
_library_ ieeeieee
Definition: GigEthGtx7.vhd:18
out dmaObSlaveAxiStreamSlaveType
Definition: GigEthGtx7.vhd:46
std_logic_vector slv
Definition: StdRtlPkg.vhd:29
NUM_CLOCKS_Ginteger range 1 to 7
out gtTxNsl
Definition: GigEthGtx7.vhd:63