SURF  1.0
GigEthPkg.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : GigEthPkg.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2016-02-07
5 -- Last update: 2016-02-07
6 -------------------------------------------------------------------------------
7 -- Description: 1GbE Package Files
8 -------------------------------------------------------------------------------
9 -- This file is part of 'SLAC Firmware Standard Library'.
10 -- It is subject to the license terms in the LICENSE.txt file found in the
11 -- top-level directory of this distribution and at:
12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
13 -- No part of 'SLAC Firmware Standard Library', including this file,
14 -- may be copied, modified, propagated, or distributed except according to
15 -- the terms contained in the LICENSE.txt file.
16 -------------------------------------------------------------------------------
17 
18 library ieee;
19 use ieee.std_logic_1164.all;
20 
21 use work.StdRtlPkg.all;
22 use work.EthMacPkg.all;
23 
24 package GigEthPkg is
25 --! @file
26  --! @ingroup ethernet_GigEthCore_core
27 
28  -- Default MAC is 01:03:00:56:44:00
29  constant MAC_ADDR_INIT_C : slv(47 downto 0) := EMAC_ADDR_INIT_C;
30 
31  type GigEthConfigType is record
33  coreConfig : slv(4 downto 0);
35  end record;
37  softRst => '0',
38  coreConfig => "00000",
40 
41  type GigEthStatusType is record
44  coreStatus : slv(15 downto 0);
45  end record;
46 
47 end GigEthPkg;
EthMacStatusType macStatus
Definition: GigEthPkg.vhd:43
EthMacStatusType
Definition: EthMacPkg.vhd:90
std_logic sl
Definition: StdRtlPkg.vhd:28
_library_ ieeeieee
slv( 47 downto 0) := EMAC_ADDR_INIT_C MAC_ADDR_INIT_C
Definition: GigEthPkg.vhd:29
slv( 4 downto 0) coreConfig
Definition: GigEthPkg.vhd:33
EthMacConfigType macConfig
Definition: GigEthPkg.vhd:34
GigEthConfigType
Definition: GigEthPkg.vhd:31
EthMacConfigType
Definition: EthMacPkg.vhd:68
GigEthConfigType :=(softRst => '0',coreConfig => "00000",macConfig => ETH_MAC_CONFIG_INIT_C) GIG_ETH_CONFIG_INIT_C
Definition: GigEthPkg.vhd:36
slv( 15 downto 0) coreStatus
Definition: GigEthPkg.vhd:44
GigEthStatusType
Definition: GigEthPkg.vhd:41
slv( 47 downto 0) := x"020300564400" EMAC_ADDR_INIT_C
Definition: EthMacPkg.vhd:31
EthMacConfigType :=(macAddress => EMAC_ADDR_INIT_C,filtEnable => '1',pauseEnable => '1',pauseTime => x"00FF",ipCsumEn => '1',tcpCsumEn => '1',udpCsumEn => '1',dropOnPause => '0') ETH_MAC_CONFIG_INIT_C
Definition: EthMacPkg.vhd:78
sl phyReady
Definition: GigEthPkg.vhd:42
sl softRst
Definition: GigEthPkg.vhd:32
std_logic_vector slv
Definition: StdRtlPkg.vhd:29