SURF  1.0
XadcSimpleCore.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : XadcSimpleCore.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2014-01-10
5 -- Last update: 2016-12-08
6 -------------------------------------------------------------------------------
7 -- Description: This core only measures internal voltages and temperature
8 -------------------------------------------------------------------------------
9 -- This file is part of 'SLAC Firmware Standard Library'.
10 -- It is subject to the license terms in the LICENSE.txt file found in the
11 -- top-level directory of this distribution and at:
12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
13 -- No part of 'SLAC Firmware Standard Library', including this file,
14 -- may be copied, modified, propagated, or distributed except according to
15 -- the terms contained in the LICENSE.txt file.
16 -------------------------------------------------------------------------------
17 
18 library ieee;
19 use ieee.std_logic_1164.all;
20 use ieee.numeric_std.all;
21 
22 use work.StdRtlPkg.all;
23 use work.AxiLitePkg.all;
24 
25 library unisim;
26 use unisim.vcomponents.all;
27 
28 --! @see entity
29  --! @ingroup xilinx_7Series_xadc
30 entity XadcSimpleCore is
31  generic (
32  TPD_G : time := 1 ns;
33  SIM_DEVICE_G : string := "7SERIES";
34  SIM_MONITOR_FILE_G : string := "design.txt";
35 
37 
38  -- Global XADC configurations
39  SEQUENCER_MODE_G : string := "DEFAULT"; -- SINGLE_PASS, CONTINUOUS, SINGLE_CHANNEL,
40  -- SIMULTANEOUS, INDEPENDENT
41  SAMPLING_MODE_G : string := "CONTINUOUS"; -- or "EVENT-DRIVEN"
42  MUX_EN_G : boolean := false; -- Enable external multiplexer
43  ADCCLK_RATIO_G : integer range 2 to 255 := 7;
44  SAMPLE_AVG_G : slv(1 downto 0) := "11"; -- No averaging, 16 64 or 256 samples
45  COEF_AVG_EN_G : boolean := true; -- Enable averaging for calibration coefficients
46 
47  -- Configurations for single channel operation
48  SING_ADC_CH_SEL_G : slv(4 downto 0) := "00000"; -- Only valid for single channel or ext
49  SING_ACQ_EN_G : boolean := false; -- Extra settling time in single channel mode
50  SING_BIPOLAR_G : boolean := false; -- false: unipolar, true: bipolar
51 
52  -- Alarm configuration
53  OVERTEMP_AUTO_SHDN_G : boolean := true;
54  OVERTEMP_ALM_EN_G : boolean := false;
55  OVERTEMP_LIMIT_G : real := 125.0;
56  OVERTEMP_RESET_G : real := 50.0;
57  TEMP_ALM_EN_G : boolean := false;
58  TEMP_UPPER_G : real := 80.0;
59  TEMP_LOWER_G : real := 70.0;
60  VCCINT_ALM_EN_G : boolean := false;
61  VCCINT_UPPER_G : real := 1.1;
62  VCCINT_LOWER_G : real := 0.9;
63  VCCAUX_ALM_EN_G : boolean := false;
64  VCCAUX_UPPER_G : real := 1.9;
65  VCCAUX_LOWER_G : real := 1.7;
66  VCCBRAM_ALM_EN_G : boolean := false;
67  VCCBRAM_UPPER_G : real := 1.1;
68  VCCBRAM_LOWER_G : real := 0.9;
69  VCCPINT_ALM_EN_G : boolean := false;
70  VCCPINT_UPPER_G : real := 1.1;
71  VCCPINT_LOWER_G : real := 0.9;
72  VCCPAUX_ALM_EN_G : boolean := false;
73  VCCPAUX_UPPER_G : real := 1.9;
74  VCCPAUX_LOWER_G : real := 1.7;
75  VCCODDR_ALM_EN_G : boolean := false;
76  VCCODDR_UPPER_G : real := 1.9;
77  VCCODDR_LOWER_G : real := 1.7;
78 
79  -- Calibration coefficient configuration
80  ADC_OFFSET_CORR_EN_G : boolean := true; -- CAL0
81  ADC_GAIN_CORR_EN_G : boolean := true; -- CAL1
82  SUPPLY_OFFSET_CORR_EN_G : boolean := true; -- CAL2
83  SUPPLY_GAIN_CORR_EN_G : boolean := true; -- CAL3
84 
85  -- Sequencer configurations
86  SEQ_XADC_CAL_SEL_EN_G : boolean := true;
87  SEQ_VCCPINT_SEL_EN_G : boolean := false;
88  SEQ_VCCPAUX_SEL_EN_G : boolean := false;
89  SEQ_VCCODDR_SEL_EN_G : boolean := false;
90  SEQ_TEMPERATURE_SEL_EN_G : boolean := false;
91  SEQ_VCCINT_SEL_EN_G : boolean := false;
92  SEQ_VCCAUX_SEL_EN_G : boolean := false;
93  SEQ_VPVN_SEL_EN_G : boolean := false;
94  SEQ_VREFP_SEL_EN_G : boolean := false;
95  SEQ_VREFN_SEL_EN_G : boolean := false;
96  SEQ_VCCBRAM_SEL_EN_G : boolean := false;
97  SEQ_VAUX_SEL_EN_G : booleanArray(15 downto 0) := (others => false);
98 
99  SEQ_XADC_CAL_AVG_EN_G : boolean := true;
100  SEQ_VCCPINT_AVG_EN_G : boolean := true;
101  SEQ_VCCPAUX_AVG_EN_G : boolean := true;
102  SEQ_VCCODDR_AVG_EN_G : boolean := true;
103  SEQ_TEMPERATURE_AVG_EN_G : boolean := true;
104  SEQ_VCCINT_AVG_EN_G : boolean := true;
105  SEQ_VCCAUX_AVG_EN_G : boolean := true;
106  SEQ_VPVN_AVG_EN_G : boolean := true;
107  SEQ_VREFP_AVG_EN_G : boolean := true;
108  SEQ_VREFN_AVG_EN_G : boolean := true;
109  SEQ_VCCBRAM_AVG_EN_G : boolean := true;
110  SEQ_VAUX_AVG_EN_G : booleanArray(15 downto 0) := (others => true);
111 
112  SEQ_VPVN_BIPOLAR_G : boolean := false;
113  SEQ_VAUX_BIPOLAR_G : BooleanArray(15 downto 0) := (others => false);
114 
115  SEQ_XADC_CAL_ACQ_EN_G : boolean := false;
116  SEQ_VCCPINT_ACQ_EN_G : boolean := false;
117  SEQ_VCCPAUX_ACQ_EN_G : boolean := false;
118  SEQ_VCCODDR_ACQ_EN_G : boolean := false;
119  SEQ_TEMPERATURE_ACQ_EN_G : boolean := false;
120  SEQ_VCCINT_ACQ_EN_G : boolean := false;
121  SEQ_VCCAUX_ACQ_EN_G : boolean := false;
122  SEQ_VPVN_ACQ_EN_G : boolean := false;
123  SEQ_VREFP_ACQ_EN_G : boolean := false;
124  SEQ_VREFN_ACQ_EN_G : boolean := false;
125  SEQ_VCCBRAM_ACQ_EN_G : boolean := false;
126  SEQ_VAUX_ACQ_EN_G : BooleanArray(15 downto 0) := (others => false));
127 
128  port (
129  -- AxiLite Interface
130  axilClk : in sl;
131  axilRst : in sl;
136  --XADC I/O ports
137  vpIn : in sl := '0';
138  vnIn : in sl := '0';
139  vAuxP : in slv(15 downto 0) := (others => '0');
140  vAuxN : in slv(15 downto 0) := (others => '0');
141  convSt : in sl := '0';
142  convStClk : in sl := '0';
143  alm : out slv(7 downto 0);
144  ot : out sl;
145  busy : out sl;
146  channel : out slv(4 downto 0);
147  eoc : out sl;
148  eos : out sl;
149  muxAddr : out slv(4 downto 0));
150 end XadcSimpleCore;
151 
152 architecture rtl of XadcSimpleCore is
153 
154  function convTemp (temp : real) return slv is
155  variable ret : slv(11 downto 0);
156  begin
157  return slv(to_unsigned(integer((temp + 273.15) * (4096.0 / 503.975)), 12));
158  end function convTemp;
159 
160  function convPwr (pwr : real) return slv is
161  variable ret : slv(11 downto 0);
162  begin
163  return slv(to_unsigned(integer((pwr / 3.0) * 4096.0), 12));
164  end function convPwr;
165 
166  -------------------------------------------------------------------------------------------------
167  -- Global config registers
168  -------------------------------------------------------------------------------------------------
169  function INIT_40_C return bit_vector is
170  variable ret : slv(15 downto 0);
171  begin
172  ret(4 downto 0) := SING_ADC_CH_SEL_G;
173  ret(7 downto 5) := (others => '0');
174  ret(8) := toSl(SING_ACQ_EN_G);
175  if (SAMPLING_MODE_G = "CONTINUOUS") then
176  ret(9) := '0';
177  elsif (SAMPLING_MODE_G = "EVENT-DRIVEN") then
178  ret(9) := '1';
179  else
180  ret(9) := '0';
181  end if;
182  ret(10) := toSl(SING_BIPOLAR_G);
183  ret(11) := toSl(MUX_EN_G);
184  ret(13 downto 12) := SAMPLE_AVG_G;
185  ret(14) := '0';
186  ret(15) := toSl(not COEF_AVG_EN_G);
187  return to_bitvector(ret);
188  end function INIT_40_C;
189 
190  function INIT_41_C return bit_vector is
191  variable ret : slv(15 downto 0) := (others => '0');
192  begin
193  ret(0) := toSl(not OVERTEMP_ALM_EN_G);
194  ret(1) := toSl(not TEMP_ALM_EN_G);
195  ret(2) := toSl(not VCCINT_ALM_EN_G);
196  ret(3) := toSl(not VCCAUX_ALM_EN_G);
197  ret(4) := toSl(ADC_OFFSET_CORR_EN_G);
198  ret(5) := toSl(ADC_GAIN_CORR_EN_G);
199  ret(6) := toSl(SUPPLY_OFFSET_CORR_EN_G);
200  ret(7) := toSl(SUPPLY_GAIN_CORR_EN_G);
201  ret(8) := toSl(not VCCBRAM_ALM_EN_G);
202  ret(9) := toSl(not VCCPINT_ALM_EN_G);
203  ret(10) := toSl(not VCCPAUX_ALM_EN_G);
204  ret(11) := toSl(not VCCODDR_ALM_EN_G);
205  if (SEQUENCER_MODE_G = "DEFAULT") then
206  ret(15 downto 12) := "0000";
207  elsif (SEQUENCER_MODE_G = "SINGLE_PASS") then
208  ret(15 downto 12) := "0001";
209  elsif (SEQUENCER_MODE_G = "CONTINUOUS") then
210  ret(15 downto 12) := "0010";
211  elsif(SEQUENCER_MODE_G = "SINGLE_CHANNEL") then
212  ret(15 downto 12) := "0011";
213  elsif(SEQUENCER_MODE_G = "SIMULTANEOUS") then
214  ret(15 downto 12) := "0100";
215  elsif(SEQUENCER_MODE_G = "INDEPENDENT") then
216  ret(15 downto 12) := "1000";
217  else
218  ret(15 downto 12) := "0000";
219  end if;
220  return to_bitvector(ret);
221  end function INIT_41_C;
222 
223  function INIT_42_C return bit_vector is
224  variable ret : slv(15 downto 0) := (others => '0');
225  begin
226  ret(5 downto 4) := (others => '0'); -- Powerdown
227  ret(15 downto 8) := slv(to_unsigned(ADCCLK_RATIO_G, 8));
228  return to_bitvector(ret);
229  end function INIT_42_C;
230 
231  -------------------------------------------------------------------------------------------------
232  -- Sequencer registers
233  -------------------------------------------------------------------------------------------------
234  function INIT_48_C return bit_vector is
235  variable ret : slv(15 downto 0) := (others => '0');
236  begin
237  ret(0) := toSl(SEQ_XADC_CAL_SEL_EN_G);
238  ret(5) := toSl(SEQ_VCCPINT_SEL_EN_G);
239  ret(6) := toSl(SEQ_VCCPAUX_SEL_EN_G);
240  ret(7) := toSl(SEQ_VCCODDR_SEL_EN_G);
241  ret(8) := toSl(SEQ_TEMPERATURE_SEL_EN_G);
242  ret(9) := toSl(SEQ_VCCINT_SEL_EN_G);
243  ret(10) := toSl(SEQ_VCCAUX_SEL_EN_G);
244  ret(11) := toSl(SEQ_VPVN_SEL_EN_G);
245  ret(12) := toSl(SEQ_VREFP_SEL_EN_G);
246  ret(13) := toSl(SEQ_VREFN_SEL_EN_G);
247  ret(14) := toSl(SEQ_VCCBRAM_SEL_EN_G);
248  return to_bitvector(ret);
249  end function INIT_48_C;
250 
251  constant INIT_49_C : bit_vector(15 downto 0) := to_bitvector(toSlv(SEQ_VAUX_SEL_EN_G));
252 
253  function INIT_4A_C return bit_vector is
254  variable ret : slv(15 downto 0) := (others => '0');
255  begin
256  ret(0) := toSl(SEQ_XADC_CAL_AVG_EN_G);
257  ret(5) := toSl(SEQ_VCCPINT_AVG_EN_G);
258  ret(6) := toSl(SEQ_VCCPAUX_AVG_EN_G);
259  ret(7) := toSl(SEQ_VCCODDR_AVG_EN_G);
260  ret(8) := toSl(SEQ_TEMPERATURE_AVG_EN_G);
261  ret(9) := toSl(SEQ_VCCINT_AVG_EN_G);
262  ret(10) := toSl(SEQ_VCCAUX_AVG_EN_G);
263  ret(11) := toSl(SEQ_VPVN_AVG_EN_G);
264  ret(12) := toSl(SEQ_VREFP_AVG_EN_G);
265  ret(13) := toSl(SEQ_VREFN_AVG_EN_G);
266  ret(14) := toSl(SEQ_VCCBRAM_AVG_EN_G);
267  return to_bitvector(ret);
268  end function INIT_4A_C;
269 
270  constant INIT_4B_C : bit_vector(15 downto 0) := to_bitvector(toSlv(SEQ_VAUX_AVG_EN_G));
271 
272  function INIT_4C_C return bit_vector is
273  variable ret : slv(15 downto 0) := (others => '0');
274  begin
275  ret(11) := toSl(SEQ_VPVN_BIPOLAR_G);
276  return to_bitvector(ret);
277  end function INIT_4C_C;
278 
279  constant INIT_4D_C : bit_vector(15 downto 0) := to_bitvector(toSlv(SEQ_VAUX_BIPOLAR_G));
280 
281  function INIT_4E_C return bit_vector is
282  variable ret : slv(15 downto 0) := (others => '0');
283  begin
284  ret(0) := toSl(SEQ_XADC_CAL_ACQ_EN_G);
285  ret(5) := toSl(SEQ_VCCPINT_ACQ_EN_G);
286  ret(6) := toSl(SEQ_VCCPAUX_ACQ_EN_G);
287  ret(7) := toSl(SEQ_VCCODDR_ACQ_EN_G);
288  ret(8) := toSl(SEQ_TEMPERATURE_ACQ_EN_G);
289  ret(9) := toSl(SEQ_VCCINT_ACQ_EN_G);
290  ret(10) := toSl(SEQ_VCCAUX_ACQ_EN_G);
291  ret(11) := toSl(SEQ_VPVN_ACQ_EN_G);
292  ret(12) := toSl(SEQ_VREFP_ACQ_EN_G);
293  ret(13) := toSl(SEQ_VREFN_ACQ_EN_G);
294  ret(14) := toSl(SEQ_VCCBRAM_ACQ_EN_G);
295  return to_bitvector(ret);
296  end function INIT_4E_C;
297 
298  constant INIT_4F_C : bit_vector(15 downto 0) := to_bitvector(toSlv(SEQ_VAUX_ACQ_EN_G));
299  -------------------------------------------------------------------------------------------------
300  -- ALARM registers
301  -------------------------------------------------------------------------------------------------
302  constant INIT_50_C : bit_vector(15 downto 0) := to_bitvector(convTemp(TEMP_UPPER_G) & "0000");
303  constant INIT_51_C : bit_vector(15 downto 0) := to_bitvector(convPwr(VCCINT_UPPER_G) & "0000");
304  constant INIT_52_C : bit_vector(15 downto 0) := to_bitvector(convPwr(VCCAUX_UPPER_G) & "0000");
305  constant INIT_53_C : bit_vector(15 downto 0) := to_bitvector(convTemp(OVERTEMP_LIMIT_G) &
306  ite(OVERTEMP_AUTO_SHDN_G, "0011", "0000"));
307  constant INIT_54_C : bit_vector(15 downto 0) := to_bitvector(convTemp(TEMP_LOWER_G) & "0000");
308  constant INIT_55_C : bit_vector(15 downto 0) := to_bitvector(convPwr(VCCINT_LOWER_G) & "0000");
309  constant INIT_56_C : bit_vector(15 downto 0) := to_bitvector(convPwr(VCCAUX_LOWER_G) & "0000");
310  constant INIT_57_C : bit_vector(15 downto 0) := to_bitvector(convTemp(OVERTEMP_RESET_G) & "0000");
311  constant INIT_58_C : bit_vector(15 downto 0) := to_bitvector(convPwr(VCCBRAM_UPPER_G) & "0000");
312  constant INIT_59_C : bit_vector(15 downto 0) := to_bitvector(convPwr(VCCPINT_UPPER_G) & "0000");
313  constant INIT_5A_C : bit_vector(15 downto 0) := to_bitvector(convPwr(VCCPAUX_UPPER_G) & "0000");
314  constant INIT_5B_C : bit_vector(15 downto 0) := to_bitvector(convPwr(VCCODDR_UPPER_G) & "0000");
315  constant INIT_5C_C : bit_vector(15 downto 0) := to_bitvector(convPwr(VCCBRAM_LOWER_G) & "0000");
316  constant INIT_5D_C : bit_vector(15 downto 0) := to_bitvector(convPwr(VCCPINT_LOWER_G) & "0000");
317  constant INIT_5E_C : bit_vector(15 downto 0) := to_bitvector(convPwr(VCCPAUX_LOWER_G) & "0000");
318  constant INIT_5F_C : bit_vector(15 downto 0) := to_bitvector(convPwr(VCCODDR_LOWER_G) & "0000");
319 
320  -------------------------------------------------------------------------------------------------
321  -- Signals
322  -------------------------------------------------------------------------------------------------
323  signal drpAddr : slv(6 downto 0);
324  signal drpEn : sl;
325  signal drpDi : slv(15 downto 0);
326  signal drpDo : slv(15 downto 0);
327  signal drpWe : sl;
328  signal drpRdy : sl;
329  signal drpUsrRst : sl;
330 
331 begin
332 
333  U_AxiLiteToDrp_1 : entity work.AxiLiteToDrp
334  generic map (
335  TPD_G => TPD_G,
337  COMMON_CLK_G => true,
338  EN_ARBITRATION_G => false,
339  TIMEOUT_G => 4096,
340  ADDR_WIDTH_G => 7,
341  DATA_WIDTH_G => 16)
342  port map (
343  axilClk => axilClk, -- [in]
344  axilRst => axilRst, -- [in]
345  axilReadMaster => axilReadMaster, -- [in]
346  axilReadSlave => axilReadSlave, -- [out]
347  axilWriteMaster => axilWriteMaster, -- [in]
348  axilWriteSlave => axilWriteSlave, -- [out]
349  drpClk => axilClk, -- [in]
350  drpRst => axilRst, -- [in]
351  drpRdy => drpRdy, -- [in]
352  drpEn => drpEn, -- [out]
353  drpWe => drpWe, -- [out]
354  drpUsrRst => drpUsrRst, -- [out]
355  drpAddr => drpAddr, -- [out]
356  drpDi => drpDi, -- [out]
357  drpDo => drpDo); -- [in]
358 
359  XADC_Inst : XADC
360  generic map(
361  INIT_40 => INIT_40_C,
362  INIT_41 => INIT_41_C,
363  INIT_42 => INIT_42_C,
364  INIT_43 => X"0000",
365  INIT_44 => X"0000",
366  INIT_45 => X"0000",
367  INIT_46 => X"0000",
368  INIT_47 => X"0000",
369  INIT_48 => INIT_48_C,
370  INIT_49 => INIT_49_C,
371  INIT_4A => INIT_4A_C,
372  INIT_4B => INIT_4B_C,
373  INIT_4C => INIT_4C_C,
374  INIT_4D => INIT_4D_C,
375  INIT_4E => INIT_4E_C,
376  INIT_4F => INIT_4F_C,
377  INIT_50 => INIT_50_C,
378  INIT_51 => INIT_51_C,
379  INIT_52 => INIT_52_C,
380  INIT_53 => INIT_53_C,
381  INIT_54 => INIT_54_C,
382  INIT_55 => INIT_55_C,
383  INIT_56 => INIT_56_C,
384  INIT_57 => INIT_57_C,
385  INIT_58 => INIT_58_C,
386  INIT_59 => INIT_59_C,
387  INIT_5A => INIT_5A_C,
388  INIT_5B => INIT_5B_C,
389  INIT_5C => INIT_5C_C,
390  INIT_5D => INIT_5D_C,
391  INIT_5E => INIT_5E_C,
392  INIT_5F => INIT_5F_C,
393  SIM_DEVICE => SIM_DEVICE_G,
394  SIM_MONITOR_FILE => SIM_MONITOR_FILE_G)
395  port map (
396  CONVST => convSt,
397  CONVSTCLK => convStClk,
398  DADDR => drpAddr,
399  DCLK => axilClk,
400  DEN => drpEn,
401  DI => drpDi,
402  DWE => drpWe,
403  RESET => drpUsrRst,
404  VAUXN => vAuxN,
405  VAUXP => vAuxP,
406  ALM => alm,
407  BUSY => busy,
408  CHANNEL => channel,
409  DO => drpDo,
410  DRDY => drpRdy,
411  EOC => eoc,
412  EOS => eos,
413  JTAGBUSY => open,
414  JTAGLOCKED => open,
415  JTAGMODIFIED => open,
416  OT => ot,
417  MUXADDR => muxaddr,
418  VN => vnIn,
419  VP => vpIn);
420 
421 end rtl;
SEQ_VCCINT_AVG_EN_Gboolean := true
VCCAUX_LOWER_Greal := 1.7
SEQUENCER_MODE_Gstring := "DEFAULT"
ADDR_WIDTH_Gpositive range 1 to 32:= 16
out drpUsrRstsl
SEQ_VCCAUX_ACQ_EN_Gboolean := false
SIM_DEVICE_Gstring := "7SERIES"
SING_ACQ_EN_Gboolean := false
VCCPINT_UPPER_Greal := 1.1
OVERTEMP_AUTO_SHDN_Gboolean := true
SEQ_VCCBRAM_AVG_EN_Gboolean := true
OVERTEMP_RESET_Greal := 50.0
AXIL_ERROR_RESP_Gslv( 1 downto 0) := AXI_RESP_DECERR_C
SEQ_VCCPAUX_SEL_EN_Gboolean := false
in vnInsl := '0'
out drpAddrslv( ADDR_WIDTH_G- 1 downto 0)
in vAuxPslv( 15 downto 0) :=( others => '0')
SEQ_VREFP_ACQ_EN_Gboolean := false
AxiLiteWriteMasterType
Definition: AxiLitePkg.vhd:111
VCCBRAM_LOWER_Greal := 0.9
std_logic sl
Definition: StdRtlPkg.vhd:28
SEQ_TEMPERATURE_SEL_EN_Gboolean := false
VCCODDR_UPPER_Greal := 1.9
out channelslv( 4 downto 0)
EN_ARBITRATION_Gboolean := false
SEQ_VREFP_SEL_EN_Gboolean := false
DATA_WIDTH_Gpositive range 1 to 32:= 16
out axilReadSlaveAxiLiteReadSlaveType
SEQ_VAUX_AVG_EN_GbooleanArray( 15 downto 0) :=( others => true)
SEQ_VREFP_AVG_EN_Gboolean := true
SEQ_VCCPAUX_ACQ_EN_Gboolean := false
SEQ_VPVN_BIPOLAR_Gboolean := false
SUPPLY_OFFSET_CORR_EN_Gboolean := true
SING_ADC_CH_SEL_Gslv( 4 downto 0) := "00000"
TEMP_ALM_EN_Gboolean := false
in drpDoslv( DATA_WIDTH_G- 1 downto 0)
COMMON_CLK_Gboolean := false
SEQ_VCCINT_SEL_EN_Gboolean := false
VCCPAUX_LOWER_Greal := 1.7
VCCPAUX_UPPER_Greal := 1.9
out muxAddrslv( 4 downto 0)
TEMP_LOWER_Greal := 70.0
SAMPLING_MODE_Gstring := "CONTINUOUS"
OVERTEMP_LIMIT_Greal := 125.0
in convStsl := '0'
SEQ_VCCPAUX_AVG_EN_Gboolean := true
VCCINT_LOWER_Greal := 0.9
SEQ_VCCODDR_ACQ_EN_Gboolean := false
SEQ_VCCAUX_SEL_EN_Gboolean := false
SEQ_VAUX_SEL_EN_GbooleanArray( 15 downto 0) :=( others => false)
out axilWriteSlaveAxiLiteWriteSlaveType
SEQ_VCCODDR_SEL_EN_Gboolean := false
SEQ_TEMPERATURE_AVG_EN_Gboolean := true
VCCINT_UPPER_Greal := 1.1
SEQ_VCCODDR_AVG_EN_Gboolean := true
in axilReadMasterAxiLiteReadMasterType
VCCODDR_ALM_EN_Gboolean := false
SEQ_VREFN_SEL_EN_Gboolean := false
SEQ_VPVN_AVG_EN_Gboolean := true
SEQ_XADC_CAL_AVG_EN_Gboolean := true
SEQ_VPVN_ACQ_EN_Gboolean := false
slv( 1 downto 0) := "11" AXI_RESP_DECERR_C
Definition: AxiLitePkg.vhd:49
SEQ_VCCINT_ACQ_EN_Gboolean := false
in axilReadMasterAxiLiteReadMasterType
VCCPAUX_ALM_EN_Gboolean := false
SUPPLY_GAIN_CORR_EN_Gboolean := true
VCCPINT_LOWER_Greal := 0.9
SEQ_VREFN_ACQ_EN_Gboolean := false
AxiLiteReadMasterType
Definition: AxiLitePkg.vhd:59
ADCCLK_RATIO_Ginteger range 2 to 255:= 7
SIM_MONITOR_FILE_Gstring := "design.txt"
in axilWriteMasterAxiLiteWriteMasterType
ADC_OFFSET_CORR_EN_Gboolean := true
TIMEOUT_Gpositive := 4096
SING_BIPOLAR_Gboolean := false
in vpInsl := '0'
SEQ_VCCPINT_ACQ_EN_Gboolean := false
TEMP_UPPER_Greal := 80.0
in vAuxNslv( 15 downto 0) :=( others => '0')
TPD_Gtime := 1 ns
COEF_AVG_EN_Gboolean := true
VCCBRAM_UPPER_Greal := 1.1
VCCODDR_LOWER_Greal := 1.7
SEQ_VCCPINT_AVG_EN_Gboolean := true
in convStClksl := '0'
AxiLiteReadSlaveType
Definition: AxiLitePkg.vhd:85
in axilWriteMasterAxiLiteWriteMasterType
out axilReadSlaveAxiLiteReadSlaveType
AXI_ERROR_RESP_Gslv( 1 downto 0) := AXI_RESP_DECERR_C
TPD_Gtime := 1 ns
SEQ_VCCBRAM_SEL_EN_Gboolean := false
SEQ_VCCAUX_AVG_EN_Gboolean := true
out axilWriteSlaveAxiLiteWriteSlaveType
VCCAUX_ALM_EN_Gboolean := false
out almslv( 7 downto 0)
SEQ_VAUX_BIPOLAR_GBooleanArray( 15 downto 0) :=( others => false)
ADC_GAIN_CORR_EN_Gboolean := true
SEQ_VCCBRAM_ACQ_EN_Gboolean := false
MUX_EN_Gboolean := false
SEQ_XADC_CAL_SEL_EN_Gboolean := true
OVERTEMP_ALM_EN_Gboolean := false
array(natural range <> ) of boolean BooleanArray
Definition: StdRtlPkg.vhd:38
SAMPLE_AVG_Gslv( 1 downto 0) := "11"
SEQ_VREFN_AVG_EN_Gboolean := true
SEQ_TEMPERATURE_ACQ_EN_Gboolean := false
VCCINT_ALM_EN_Gboolean := false
SEQ_XADC_CAL_ACQ_EN_Gboolean := false
VCCAUX_UPPER_Greal := 1.9
SEQ_VAUX_ACQ_EN_GBooleanArray( 15 downto 0) :=( others => false)
SEQ_VPVN_SEL_EN_Gboolean := false
VCCBRAM_ALM_EN_Gboolean := false
VCCPINT_ALM_EN_Gboolean := false
out drpDislv( DATA_WIDTH_G- 1 downto 0)
std_logic_vector slv
Definition: StdRtlPkg.vhd:29
SEQ_VCCPINT_SEL_EN_Gboolean := false