SURF  1.0
AxiXadcMinimumCore.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : AxiXadcMinimumCore.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2014-10-21
5 -- Last update: 2014-10-21
6 -------------------------------------------------------------------------------
7 -- Description: Example of a simple XADC IP core w/ AXI-Lite
8 -------------------------------------------------------------------------------
9 -- This file is part of 'SLAC Firmware Standard Library'.
10 -- It is subject to the license terms in the LICENSE.txt file found in the
11 -- top-level directory of this distribution and at:
12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
13 -- No part of 'SLAC Firmware Standard Library', including this file,
14 -- may be copied, modified, propagated, or distributed except according to
15 -- the terms contained in the LICENSE.txt file.
16 -------------------------------------------------------------------------------
17 
18 library ieee;
19 use ieee.std_logic_1164.all;
20 
21 use work.StdRtlPkg.all;
22 use work.AxiLitePkg.all;
23 
24 --! @see entity
25  --! @ingroup xilinx_7Series_xadc
27  generic (
28  TPD_G : time := 1 ns);
29  port (
30  -- XADC Ports
31  vPIn : in sl;
32  vNIn : in sl;
33  -- AXI-Lite Register Interface
38  -- Clocks and Resets
39  axiClk : in sl;
40  axiRst : in sl);
41 end entity AxiXadcMinimumCore;
42 
43 architecture mapping of AxiXadcMinimumCore is
44 
45  component AxiXadcMinimum
46  port (
47  s_axi_aclk : in sl;
48  s_axi_aresetn : in sl;
49  s_axi_awaddr : in slv(10 downto 0);
50  s_axi_awvalid : in sl;
51  s_axi_awready : out sl;
52  s_axi_wdata : in slv(31 downto 0);
53  s_axi_wstrb : in slv(3 downto 0);
54  s_axi_wvalid : in sl;
55  s_axi_wready : out sl;
56  s_axi_bresp : out slv(1 downto 0);
57  s_axi_bvalid : out sl;
58  s_axi_bready : in sl;
59  s_axi_araddr : in slv(10 downto 0);
60  s_axi_arvalid : in sl;
61  s_axi_arready : out sl;
62  s_axi_rdata : out slv(31 downto 0);
63  s_axi_rresp : out slv(1 downto 0);
64  s_axi_rvalid : out sl;
65  s_axi_rready : in sl;
66  ip2intc_irpt : out sl;
67  vp_in : in sl;
68  vn_in : in sl;
69  channel_out : out slv(4 downto 0);
70  eoc_out : out sl;
71  alarm_out : out sl;
72  eos_out : out sl;
73  busy_out : out sl);
74  end component;
75  attribute SYN_BLACK_BOX : boolean;
76  attribute SYN_BLACK_BOX of AxiXadcMinimum : component is true;
77  attribute BLACK_BOX_PAD_PIN : string;
78  attribute BLACK_BOX_PAD_PIN of AxiXadcMinimum : component is "s_axi_aclk,s_axi_aresetn,s_axi_awaddr[10:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[10:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,ip2intc_irpt,vp_in,vn_in,channel_out[4:0],eoc_out,alarm_out,eos_out,busy_out";
79 
80  signal axiRstL : sl;
81 
82 begin
83 
84  axiRstL <= not axiRst;
85  AxiXadcCore_1 : AxiXadcMinimum
86  port map (
87  s_axi_aclk => axiClk,
88  s_axi_aresetn => axiRstL,
89  s_axi_awaddr => axiWriteMaster.awaddr(10 downto 0),
90  s_axi_awvalid => axiWriteMaster.awvalid,
91  s_axi_awready => axiWriteSlave.awready,
92  s_axi_wdata => axiWriteMaster.wdata,
93  s_axi_wstrb => axiWriteMaster.wstrb,
94  s_axi_wvalid => axiWriteMaster.wvalid,
95  s_axi_wready => axiWriteSlave.wready,
96  s_axi_bresp => axiWriteSlave.bresp,
97  s_axi_bvalid => axiWriteSlave.bvalid,
98  s_axi_bready => axiWriteMaster.bready,
99  s_axi_araddr => axiReadMaster.araddr(10 downto 0),
100  s_axi_arvalid => axiReadMaster.arvalid,
101  s_axi_arready => axiReadSlave.arready,
102  s_axi_rdata => axiReadSlave.rdata,
103  s_axi_rresp => axiReadSlave.rresp,
104  s_axi_rvalid => axiReadSlave.rvalid,
105  s_axi_rready => axiReadMaster.rready,
106  ip2intc_irpt => open,
107  vp_in => vpIn,
108  vn_in => vnIn,
109  channel_out => open,
110  eoc_out => open,
111  alarm_out => open,
112  eos_out => open,
113  busy_out => open);
114 
115 end architecture mapping;
in axiReadMasterAxiLiteReadMasterType
AxiLiteWriteMasterType
Definition: AxiLitePkg.vhd:111
std_logic sl
Definition: StdRtlPkg.vhd:28
AxiLiteReadMasterType
Definition: AxiLitePkg.vhd:59
out axiReadSlaveAxiLiteReadSlaveType
AxiLiteReadSlaveType
Definition: AxiLitePkg.vhd:85
out axiWriteSlaveAxiLiteWriteSlaveType
in axiWriteMasterAxiLiteWriteMasterType
_library_ ieeeieee
Definition: UartSem.vhd:18