SURF  1.0
AxiAds42lb69Pkg.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : AxiAds42lb69Pkg.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2015-03-20
5 -- Last update: 2015-03-23
6 -------------------------------------------------------------------------------
7 -- Description: AxiAds42lb69 Package File
8 -------------------------------------------------------------------------------
9 -- This file is part of 'SLAC Firmware Standard Library'.
10 -- It is subject to the license terms in the LICENSE.txt file found in the
11 -- top-level directory of this distribution and at:
12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
13 -- No part of 'SLAC Firmware Standard Library', including this file,
14 -- may be copied, modified, propagated, or distributed except according to
15 -- the terms contained in the LICENSE.txt file.
16 -------------------------------------------------------------------------------
17 
18 library ieee;
19 use ieee.std_logic_1164.all;
20 
21 use work.StdRtlPkg.all;
22 
23 package AxiAds42lb69Pkg is
24 --! @file
25  --! @ingroup devices_Ti_ads42lb69
26 
27  type AxiAds42lb69InType is record
30  dataP : Slv8Array(1 downto 0);
31  dataN : Slv8Array(1 downto 0);
32  end record;
33  type AxiAds42lb69InArray is array (natural range <>) of AxiAds42lb69InType;
34 
35  type AxiAds42lb69OutType is record
36  clkP : sl;
37  clkN : sl;
38  syncP : sl;
39  syncN : sl;
40  end record;
41  type AxiAds42lb69OutArray is array (natural range <>) of AxiAds42lb69OutType;
42 
43  type AxiAds42lb69DelayInType is record
44  load : Slv8Array(1 downto 0);
45  rst : sl;
46  data : slv(8 downto 0);
47  end record;
49  load => (others =>(others => '0')),
50  rst => '0',
51  data => (others => '0'));
52 
53  type AxiAds42lb69DelayOutType is record
54  rdy : sl;
55  data : Slv10VectorArray(1 downto 0, 7 downto 0);
56  end record;
58  rdy => '0',
59  data => (others => (others => (others => '0'))));
60 
61  type AxiAds42lb69ConfigType is record
62  dmode : slv(1 downto 0);
63  -- IO-Delay Signals (refClk200MHz domain)
65  end record;
67  dmode => (others => '0'),
69 
70  type AxiAds42lb69StatusType is record
71  adcValid : slv(1 downto 0);
72  adcData : Slv16Array(1 downto 0);
73  -- IO-Delay Signals (refClk200MHz domain)
75  end record;
77  adcValid => (others => '0'),
78  adcData => (others => x"0000"),
80 
81 end package;
slv( 8 downto 0) data
AxiAds42lb69DelayOutType delayOut
Slv8Array( 1 downto 0) load
array(natural range <> ) of AxiAds42lb69InType AxiAds42lb69InArray
array(natural range <> ) of AxiAds42lb69OutType AxiAds42lb69OutArray
std_logic sl
Definition: StdRtlPkg.vhd:28
slv( 1 downto 0) adcValid
AxiAds42lb69DelayInType :=(load =>( others =>( others => '0')),rst => '0',data =>( others => '0')) AXI_ADS42LB69_DELAY_IN_INIT_C
Slv8Array( 1 downto 0) dataN
array(natural range <> ,natural range <> ) of slv( 9 downto 0) Slv10VectorArray
Definition: StdRtlPkg.vhd:659
slv( 1 downto 0) dmode
array(natural range <> ) of slv( 15 downto 0) Slv16Array
Definition: StdRtlPkg.vhd:395
AxiAds42lb69ConfigType :=(dmode =>( others => '0'),delayIn => AXI_ADS42LB69_DELAY_IN_INIT_C) AXI_ADS42LB69_CONFIG_INIT_C
AxiAds42lb69StatusType :=(adcValid =>( others => '0'),adcData =>( others => x"0000"),delayOut => AXI_ADS42LB69_DELAY_OUT_INIT_C) AXI_ADS42LB69_STATUS_INIT_C
AxiAds42lb69DelayOutType :=(rdy => '0',data =>( others =>( others =>( others => '0')))) AXI_ADS42LB69_DELAY_OUT_INIT_C
AxiAds42lb69DelayInType delayIn
Slv8Array( 1 downto 0) dataP
array(natural range <> ) of slv( 7 downto 0) Slv8Array
Definition: StdRtlPkg.vhd:403
Slv16Array( 1 downto 0) adcData
std_logic_vector slv
Definition: StdRtlPkg.vhd:29