SURF  1.0
AxiMicronP30Pkg.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : AxiMicronP30Pkg.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2014-06-23
5 -- Last update: 2017-03-24
6 -------------------------------------------------------------------------------
7 -- Description: AxiMicronP30 Package File
8 -------------------------------------------------------------------------------
9 -- This file is part of 'SLAC Firmware Standard Library'.
10 -- It is subject to the license terms in the LICENSE.txt file found in the
11 -- top-level directory of this distribution and at:
12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
13 -- No part of 'SLAC Firmware Standard Library', including this file,
14 -- may be copied, modified, propagated, or distributed except according to
15 -- the terms contained in the LICENSE.txt file.
16 -------------------------------------------------------------------------------
17 
18 library ieee;
19 use ieee.std_logic_1164.all;
20 
21 use work.StdRtlPkg.all;
22 
23 package AxiMicronP30Pkg is
24 --! @file
25  --! @ingroup devices_Micron_p30
26 
27  type AxiMicronP30InType is record
29  end record;
30  type AxiMicronP30InArray is array (natural range <>) of AxiMicronP30InType;
31  type AxiMicronP30InVectorArray is array (integer range<>, integer range<>)of AxiMicronP30InType;
32 
33  type AxiMicronP30InOutType is record
34  dq : slv(15 downto 0);
35  end record;
36  type AxiMicronP30InOutArray is array (natural range <>) of AxiMicronP30InOutType;
37  type AxiMicronP30InOutVectorArray is array (integer range<>, integer range<>)of AxiMicronP30InOutType;
38 
39  type AxiMicronP30OutType is record
40  ceL : sl;
41  oeL : sl;
42  weL : sl;
43  addr : slv(30 downto 0);
44  adv : sl;
45  clk : sl;
46  rstL : sl;
47  end record;
48  type AxiMicronP30OutArray is array (natural range <>) of AxiMicronP30OutType;
49  type AxiMicronP30OutVectorArray is array (integer range<>, integer range<>)of AxiMicronP30OutType;
50 
51 end package;
_library_ ieeeieee
std_logic sl
Definition: StdRtlPkg.vhd:28
slv( 30 downto 0) addr
array(integer range <> ,integer range <> ) of AxiMicronP30OutType AxiMicronP30OutVectorArray
array(integer range <> ,integer range <> ) of AxiMicronP30InOutType AxiMicronP30InOutVectorArray
array(natural range <> ) of AxiMicronP30OutType AxiMicronP30OutArray
array(natural range <> ) of AxiMicronP30InType AxiMicronP30InArray
slv( 15 downto 0) dq
array(natural range <> ) of AxiMicronP30InOutType AxiMicronP30InOutArray
array(integer range <> ,integer range <> ) of AxiMicronP30InType AxiMicronP30InVectorArray
std_logic_vector slv
Definition: StdRtlPkg.vhd:29