SURF  1.0
AxiLiteMasterPkg.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : AxiLiteMasterPkg.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2016-03-08
5 -- Last update: 2016-03-09
6 -------------------------------------------------------------------------------
7 -- Description: AxiLiteMaster Support Package
8 -------------------------------------------------------------------------------
9 -- This file is part of SLAC Firmware Standard Library. It is subject to
10 -- the license terms in the LICENSE.txt file found in the top-level directory
11 -- of this distribution and at:
12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
13 -- No part of SLAC Firmware Standard Library, including this file, may be
14 -- copied, modified, propagated, or distributed except according to the terms
15 -- contained in the LICENSE.txt file.
16 -------------------------------------------------------------------------------
17 
18 library ieee;
19 use ieee.std_logic_1164.all;
20 
21 use work.StdRtlPkg.all;
22 
23 package AxiLiteMasterPkg is
24 --! @file
25  --! @ingroup axi
26 
27  type AxiLiteMasterReqType is record
29  rnw : sl;
30  address : slv(31 downto 0);
31  wrData : slv(31 downto 0);
32  end record AxiLiteMasterReqType;
33 
35  request => '0',
36  rnw => '1',
37  address => (others => '0'),
38  wrData => (others => '0'));
39 
40  type AxiLiteMasterAckType is record
41  done : sl;
42  resp : slv(1 downto 0);
43  rdData : slv(31 downto 0);
44  end record AxiLiteMasterAckType;
45 
47  done => '0',
48  resp => (others => '0'),
49  rdData => (others => '0'));
50 
51 
52 end package AxiLiteMasterPkg;
53 
AxiLiteMasterReqType :=(request => '0',rnw => '1',address =>( others => '0'),wrData =>( others => '0')) AXI_LITE_MASTER_REQ_INIT_C
std_logic sl
Definition: StdRtlPkg.vhd:28
slv( 1 downto 0) resp
slv( 31 downto 0) rdData
_library_ ieeeieee
AxiLiteMasterAckType :=(done => '0',resp =>( others => '0'),rdData =>( others => '0')) AXI_LITE_MASTER_ACK_INIT_C
slv( 31 downto 0) wrData
slv( 31 downto 0) address
std_logic_vector slv
Definition: StdRtlPkg.vhd:29