SURF  1.0
Ad9249Pkg.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : Ad9249Pkg.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2016-05-26
5 -- Last update: 2016-06-06
6 -------------------------------------------------------------------------------
7 -- Description: AD9249 Package File
8 -------------------------------------------------------------------------------
9 -- This file is part of 'SLAC Firmware Standard Library'.
10 -- It is subject to the license terms in the LICENSE.txt file found in the
11 -- top-level directory of this distribution and at:
12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
13 -- No part of 'SLAC Firmware Standard Library', including this file,
14 -- may be copied, modified, propagated, or distributed except according to
15 -- the terms contained in the LICENSE.txt file.
16 -------------------------------------------------------------------------------
17 
18 library ieee;
19 use ieee.std_logic_1164.all;
20 use work.StdRtlPkg.all;
21 use work.AxiStreamPkg.all;
22 
23 package Ad9249Pkg is
24 --! @file
25  --! @ingroup devices_AnalogDevices_ad9249
26 
27  -- Interface to AD9249 chip
28  -- Chip has two SerialGroup outputs
29  type Ad9249SerialGroupType is record
30  fClkP : sl; -- Frame clock
31  fClkN : sl;
32  dClkP : sl; -- Data clock
33  dClkN : sl;
34  chP : slv(7 downto 0); -- Serial Data channels
35  chN : slv(7 downto 0);
36  end record;
37 
38  type Ad9249SerialGroupArray is array (natural range <>) of Ad9249SerialGroupType;
39 
41  TSTRB_EN_C => false,
42  TDATA_BYTES_C => 2,
43  TDEST_BITS_C => 0,
44  TID_BITS_C => 0,
45  TKEEP_MODE_C => TKEEP_FIXED_C,
46  TUSER_BITS_C => 0,
47  TUSER_MODE_C => TUSER_NONE_C);
48 
49  -- Deserialized data output
50 -- type Ad9249ReadoutType is record
51 -- valid : sl;
52 -- data : slv16Array(15 downto 0);
53 -- end record;
54 
55 -- constant ADC_READOUT_INIT_C : Ad9249ReadoutType := (
56 -- valid => '0',
57 -- data => (others => X"0000"));
58 
59 -- type Ad9249ReadoutArray is array (natural range <>) of Ad9249ReadoutType;
60 
61 end package Ad9249Pkg;
natural range 0 to 8 TDEST_BITS_C
std_logic sl
Definition: StdRtlPkg.vhd:28
slv( 7 downto 0) chN
Definition: Ad9249Pkg.vhd:35
natural range 1 to 16 TDATA_BYTES_C
AxiStreamConfigType :=(TSTRB_EN_C => false,TDATA_BYTES_C => 2,TDEST_BITS_C => 0,TID_BITS_C => 0,TKEEP_MODE_C => TKEEP_FIXED_C,TUSER_BITS_C => 0,TUSER_MODE_C => TUSER_NONE_C) AD9249_AXIS_CFG_G
Definition: Ad9249Pkg.vhd:40
TkeepModeType TKEEP_MODE_C
natural range 0 to 8 TID_BITS_C
boolean TSTRB_EN_C
array(natural range <> ) of Ad9249SerialGroupType Ad9249SerialGroupArray
Definition: Ad9249Pkg.vhd:38
TUserModeType TUSER_MODE_C
natural range 0 to 8 TUSER_BITS_C
slv( 7 downto 0) chP
Definition: Ad9249Pkg.vhd:34
std_logic_vector slv
Definition: StdRtlPkg.vhd:29
Ad9249SerialGroupType
Definition: Ad9249Pkg.vhd:29