SURF  1.0
XauiPkg.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : XauiPkg.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2015-04-07
5 -- Last update: 2015-04-07
6 -------------------------------------------------------------------------------
7 -- Description: XAUI Package Files
8 -------------------------------------------------------------------------------
9 -- This file is part of 'SLAC Firmware Standard Library'.
10 -- It is subject to the license terms in the LICENSE.txt file found in the
11 -- top-level directory of this distribution and at:
12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
13 -- No part of 'SLAC Firmware Standard Library', including this file,
14 -- may be copied, modified, propagated, or distributed except according to
15 -- the terms contained in the LICENSE.txt file.
16 -------------------------------------------------------------------------------
17 
18 library ieee;
19 use ieee.std_logic_1164.all;
20 
21 use work.StdRtlPkg.all;
22 use work.EthMacPkg.all;
23 
24 package XauiPkg is
25 --! @file
26  --! @ingroup ethernet_XauiCore_core
27 
28  -- Default MAC is 01:03:00:56:44:00
29  constant MAC_ADDR_INIT_C : slv(47 downto 0) := EMAC_ADDR_INIT_C;
30 
31  type XauiConfig is record
34  configVector : slv(6 downto 0);
35  end record;
37  softRst => '0',
39  configVector => (others => '0'));
40 
41  type XauiStatus is record
46  statusVector : slv(7 downto 0);
47  debugVector : slv(5 downto 0);
48  end record;
49 
50 end XauiPkg;
EthMacConfigType macConfig
Definition: XauiPkg.vhd:33
EthMacStatusType
Definition: EthMacPkg.vhd:90
std_logic sl
Definition: StdRtlPkg.vhd:28
XauiConfig
Definition: XauiPkg.vhd:31
_library_ ieeeieee
slv( 7 downto 0) statusVector
Definition: XauiPkg.vhd:46
sl clkLock
Definition: XauiPkg.vhd:45
XauiConfig :=(softRst => '0',macConfig => ETH_MAC_CONFIG_INIT_C,configVector =>( others => '0')) XAUI_CONFIG_INIT_C
Definition: XauiPkg.vhd:36
sl phyReady
Definition: XauiPkg.vhd:42
EthMacConfigType
Definition: EthMacPkg.vhd:68
slv( 5 downto 0) debugVector
Definition: XauiPkg.vhd:47
sl areset
Definition: XauiPkg.vhd:44
XauiStatus
Definition: XauiPkg.vhd:41
sl softRst
Definition: XauiPkg.vhd:32
EthMacStatusType macStatus
Definition: XauiPkg.vhd:43
slv( 47 downto 0) := x"020300564400" EMAC_ADDR_INIT_C
Definition: EthMacPkg.vhd:31
EthMacConfigType :=(macAddress => EMAC_ADDR_INIT_C,filtEnable => '1',pauseEnable => '1',pauseTime => x"00FF",ipCsumEn => '1',tcpCsumEn => '1',udpCsumEn => '1',dropOnPause => '0') ETH_MAC_CONFIG_INIT_C
Definition: EthMacPkg.vhd:78
slv( 47 downto 0) := EMAC_ADDR_INIT_C MAC_ADDR_INIT_C
Definition: XauiPkg.vhd:29
std_logic_vector slv
Definition: StdRtlPkg.vhd:29