SURF  1.0
SaltPkg.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : SaltPkg.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2015-09-01
5 -- Last update: 2016-07-13
6 -------------------------------------------------------------------------------
7 -- Description: SLAC Asynchronous Logic Transceiver (SALT) Package File
8 -------------------------------------------------------------------------------
9 -- This file is part of 'SLAC Firmware Standard Library'.
10 -- It is subject to the license terms in the LICENSE.txt file found in the
11 -- top-level directory of this distribution and at:
12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
13 -- No part of 'SLAC Firmware Standard Library', including this file,
14 -- may be copied, modified, propagated, or distributed except according to
15 -- the terms contained in the LICENSE.txt file.
16 -------------------------------------------------------------------------------
17 
18 library ieee;
19 use ieee.std_logic_1164.all;
20 use work.StdRtlPkg.all;
21 use work.AxiStreamPkg.all;
22 use work.SsiPkg.all;
23 
24 package SaltPkg is
25 --! @file
26  --! @ingroup protocols_salt_core
27 
28  constant SSI_GMII_CONFIG_C : AxiStreamConfigType := ssiAxiStreamConfig(1, TKEEP_COMP_C, TUSER_FIRST_LAST_C, 0);
29  constant SSI_SALT_CONFIG_C : AxiStreamConfigType := ssiAxiStreamConfig(4, TKEEP_COMP_C, TUSER_FIRST_LAST_C, 8);
30  constant SALT_MAX_WORDS_C : natural := (1500/4);
31  constant INTER_GAP_SIZE_C : natural := 12;
32 
33  constant SOF_C : slv(31 downto 0) := x"BBBBBBBB"; -- SOF = start of frame
34  constant SOC_C : slv(31 downto 0) := x"CCCCCCCC"; -- SOC = start of continuation
35  constant EOC_C : slv(31 downto 0) := x"DDDDDDDD"; -- EOC = end of continuation
36  constant EOF_C : slv(31 downto 0) := x"EEEEEEEE"; -- EOF = end of frame w/out errors
37  constant EOFE_C : slv(31 downto 0) := x"FFFFFFFF"; -- EOFE = end of frame w/ errors
38 
39  constant PREAMBLE_C : slv(31 downto 0) := x"55555555";
40  constant SFD_C : slv(31 downto 0) := x"D5555555";
41 
42 end package;
slv( 31 downto 0) := x"CCCCCCCC" SOC_C
Definition: SaltPkg.vhd:34
slv( 31 downto 0) := x"D5555555" SFD_C
Definition: SaltPkg.vhd:40
AxiStreamConfigType := ssiAxiStreamConfig( 4, TKEEP_COMP_C, TUSER_FIRST_LAST_C, 8) SSI_SALT_CONFIG_C
Definition: SaltPkg.vhd:29
AxiStreamConfigType := ssiAxiStreamConfig( 1, TKEEP_COMP_C, TUSER_FIRST_LAST_C, 0) SSI_GMII_CONFIG_C
Definition: SaltPkg.vhd:28
slv( 31 downto 0) := x"DDDDDDDD" EOC_C
Definition: SaltPkg.vhd:35
_library_ ieeeieee
slv( 31 downto 0) := x"FFFFFFFF" EOFE_C
Definition: SaltPkg.vhd:37
slv( 31 downto 0) := x"BBBBBBBB" SOF_C
Definition: SaltPkg.vhd:33
natural := 12 INTER_GAP_SIZE_C
Definition: SaltPkg.vhd:31
slv( 31 downto 0) := x"55555555" PREAMBLE_C
Definition: SaltPkg.vhd:39
slv( 31 downto 0) := x"EEEEEEEE" EOF_C
Definition: SaltPkg.vhd:36
std_logic_vector slv
Definition: StdRtlPkg.vhd:29
natural :=( 1500/ 4) SALT_MAX_WORDS_C
Definition: SaltPkg.vhd:30