1 ------------------------------------------------------------------------------ 4 -- /___/ \ / Vendor: Xilinx 5 -- \ \ \/ Version : 3.4 6 -- \ \ Application : 7 Series FPGAs Transceivers Wizard 7 -- / / Filename : gtwizard_0_gtrxreset_seq.vhd 13 -- Module gtwizard_0_gtrxreset_seq 14 -- Generated by Xilinx 7 Series FPGAs Transceivers Wizard 17 -- (c) Copyright 2010-2012 Xilinx, Inc. All rights reserved. 19 -- This file contains confidential and proprietary information 20 -- of Xilinx, Inc. and is protected under U.S. and 21 -- international copyright and other intellectual property 25 -- This disclaimer is not a license and does not grant any 26 -- rights to the materials distributed herewith. Except as 27 -- otherwise provided in a valid license issued to you by 28 -- Xilinx, and to the maximum extent permitted by applicable 29 -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND 30 -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES 31 -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING 32 -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- 33 -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and 34 -- (2) Xilinx shall not be liable (whether in contract or tort, 35 -- including negligence, or under any other theory of 36 -- liability) for any loss or damage of any kind or nature 37 -- related to, arising under or in connection with these 38 -- materials, including for any direct, or any indirect, 39 -- special, incidental, or consequential loss or damage 40 -- (including loss of data, profits, goodwill, or any type of 41 -- loss or damage suffered as a result of any action brought 42 -- by a third party) even if such damage or loss was 43 -- reasonably foreseeable or Xilinx had been advised of the 44 -- possibility of the same. 46 -- CRITICAL APPLICATIONS 47 -- Xilinx products are not designed or intended to be fail- 48 -- safe, or for use in any application requiring fail-safe 49 -- performance, such as life-support or safety devices or 50 -- systems, Class III medical devices, nuclear facilities, 51 -- applications related to the deployment of airbags, or any 52 -- other applications that could lead to death, personal 53 -- injury, or severe property or environmental damage 54 -- (individually and collectively, "Critical 55 -- Applications"). Customer assumes the sole risk and 56 -- liability of any use of Xilinx products in Critical 57 -- Applications, subject only to applicable laws and 58 -- regulations governing limitations on product liability. 60 -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS 61 -- PART OF THIS FILE AT ALL TIMES. 65 use ieee.std_logic_1164.
all;
70 --! @ingroup xilinx_7Series_gtp7 142 sync_GTRXRESET :
entity work.
RstSync 160 if rising_edge(DRPCLK) then 181 if rising_edge(DRPCLK) then 220 when wait_wr_done1 => 227 when wait_pmareset => 237 when wait_wr_done2 => 250 -- drives DRP interface and GTRXRESET_OUT 253 -- assert gtrxreset_out until wr to 16-bit is complete 254 -- RX_DATA_WIDTH is located at addr x"0011", [13 downto 11] 255 -- encoding is this : /16 = x "2", /20 = x"3", /32 = x"4", /40 = x"5" 265 --do nothing to DRP or reset 269 --assert reset and issue rd 275 --assert reset and wait to load rd data 286 --assert reset and write to 16-bit mode 291 -- Addr "00001001" [11] = '0' puts width mode in /16 or /32 294 --keep asserting reset until write to 16-bit mode is complete 295 when wait_wr_done1 => 298 --deassert reset and no DRP access until 2nd pmareset 299 when wait_pmareset => 306 --write to 20-bit mode 310 drpdi_o <= rd_data(15 downto 0);
--restore user setting per prev read 312 --wait to complete write to 20-bit mode 313 when wait_wr_done2 => 324 if rising_edge(DRPCLK) then 326 flag <= '1'
after TPD_G;
327 elsif(state = wait_wr_done2) then 328 flag <= '0'
after TPD_G;
out DRPADDRstd_logic_vector( 8 downto 0)
std_logic pmarstdone_fall_edge
in DRPDOstd_logic_vector( 15 downto 0)
in RXPMARESETDONEstd_logic
std_logic_vector( 15 downto 0) next_rd_data
std_logic rxpmaresetdone_ss
state_type := idle next_state
out DRPDIstd_logic_vector( 15 downto 0)
std_logic_vector( 15 downto 0) rd_data
(idle,drp_rd,wait_rd_data,wr_16,wait_wr_done1,wait_pmareset,wr_20,wait_wr_done2) state_type
std_logic_vector( 15 downto 0) drpdi_o
std_logic rxpmaresetdone_sss
out GTRXRESET_OUTstd_logic
std_logic_vector( 8 downto 0) drpaddr_o
std_logic_vector( 15 downto 0) original_rd_data