1 ------------------------------------------------------------------------------- 2 -- File : Encoder8b10b.vhd 3 -- Company : SLAC National Accelerator Laboratory 4 -- Created : 2016-10-12 5 -- Last update: 2017-05-01 6 ------------------------------------------------------------------------------- 7 -- Description: 8B10B Encoder Module 8 ------------------------------------------------------------------------------- 9 -- This file is part of 'SLAC Firmware Standard Library'. 10 -- It is subject to the license terms in the LICENSE.txt file found in the 11 -- top-level directory of this distribution and at: 12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html. 13 -- No part of 'SLAC Firmware Standard Library', including this file, 14 -- may be copied, modified, propagated, or distributed except according to 15 -- the terms contained in the LICENSE.txt file. 16 ------------------------------------------------------------------------------- 19 use ieee.std_logic_1164.
all;
24 --! @ingroup base_general 35 clkEn : in sl := '1';
-- Optional Clock Enable 45 end entity Encoder8b10b;
49 type RegType is record 56 constant REG_INIT_C : RegType := ( 62 signal r : RegType := REG_INIT_C;
69 variable dispChainVar : sl;
81 dispChainVar := r.runDisp;
85 dispIn => dispChainVar, 87 dispOut => dispChainVar);
89 v.runDisp := dispChainVar;
103 seq :
process (
clk,
rst)
is 106 r <= REG_INIT_C after TPD_G;
107 elsif (rising_edge(clk)) then 109 r <= rin after TPD_G;
114 end architecture rtl;
in dataInslv( NUM_BYTES_G* 8- 1 downto 0)
FLOW_CTRL_EN_Gboolean := false
out dataOutslv( NUM_BYTES_G* 10- 1 downto 0)
RST_ASYNC_Gboolean := true
in rstsl :=not RST_POLARITY_G
in dataKInslv( NUM_BYTES_G- 1 downto 0)