1 -------------------------------------------------------------------------------     2 -- File       : Decoder12b14b.vhd     3 -- Company    : SLAC National Accelerator Laboratory     4 -- Created    : 2016-10-07     5 -- Last update: 2017-05-01     6 -------------------------------------------------------------------------------     7 -- Description: 12B14B Decoder Module     8 -------------------------------------------------------------------------------     9 -- This file is part of 'SLAC Firmware Standard Library'.    10 -- It is subject to the license terms in the LICENSE.txt file found in the     11 -- top-level directory of this distribution and at:     12 --    https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.     13 -- No part of 'SLAC Firmware Standard Library', including this file,     14 -- may be copied, modified, propagated, or distributed except according to     15 -- the terms contained in the LICENSE.txt file.    16 -------------------------------------------------------------------------------    19 use ieee.std_logic_1164.
all;
    24  --! @ingroup base_general    34       clkEn     : in  sl              := '1';
                 -- Optional Clock Enable    46 end entity Decoder12b14b;
    50    type RegType is record    59    constant REG_INIT_C : RegType := (    67    signal r   : RegType := REG_INIT_C;
    74       variable dispInTmp : slv(1 downto 0);
   112    seq : 
process (
clk, 
rst) 
is   115          r <= REG_INIT_C after TPD_G;
   116       elsif (rising_edge(clk)) then   118             r <= rin after TPD_G;
   123 end architecture rtl;
 
RST_ASYNC_Gboolean  :=   false
 
in rstsl  :=not    RST_POLARITY_G
 
in dataInslv( 13 downto  0)  
 
EncodeTableType   ENCODE_TABLE_C
 
DEBUG_DISP_Gboolean  :=   false
 
in dispInslv( 1 downto  0)  :=   "00"
 
out dispOutslv( 1 downto  0)  
 
out dataOutslv( 11 downto  0)