1 -------------------------------------------------------------------------------     2 -- File       : AxiToAxiLite.vhd     3 -- Company    : SLAC National Accelerator Laboratory     4 -- Created    : 2014-03-06     5 -- Last update: 2016-09-28     6 -------------------------------------------------------------------------------     7 -- Description: AXI4-to-AXI-Lite bridge     9 -- Note: This module only supports 32-bit aligned addresses and 32-bit transactions.      10 -------------------------------------------------------------------------------    11 -- This file is part of 'SLAC Firmware Standard Library'.    12 -- It is subject to the license terms in the LICENSE.txt file found in the     13 -- top-level directory of this distribution and at:     14 --    https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.     15 -- No part of 'SLAC Firmware Standard Library', including this file,     16 -- may be copied, modified, propagated, or distributed except according to     17 -- the terms contained in the LICENSE.txt file.    18 -------------------------------------------------------------------------------    21 use ieee.std_logic_1164.
all;
    22 use ieee.std_logic_unsigned.
all;
    23 use ieee.std_logic_arith.
all;
    57 --   axilWriteMaster.wdata   <= axiWriteMaster.wdata(31 downto 0);    58 --   axilWriteMaster.wstrb   <= axiWriteMaster.wstrb(3 downto 0);    78    -- Collapse Axi wdata onto 32-bit AxiLite wdata    79    --   Assumes only active 32 bits are asserted,    80    --     otherwise could use wstrb to pick correct 32 bits    86       wdata := (others=>'0');
    98       -- Copy the responds read bus bus to all word boundaries   102       -- Return the value to the output   109       if rising_edge(axiClk) then   124 end architecture mapping;
 
in axilWriteSlaveAxiLiteWriteSlaveType  
 
in axiWriteMasterAxiWriteMasterType  
 
in axilReadSlaveAxiLiteReadSlaveType  
 
out axilWriteMasterAxiLiteWriteMasterType  
 
in axiReadMasterAxiReadMasterType  
 
out axilReadMasterAxiLiteReadMasterType  
 
out axiWriteSlaveAxiWriteSlaveType  
 
out axiReadSlaveAxiReadSlaveType