SURF  1.0
AxiLtc2270Pkg.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : AxiLtc2270Pkg.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2014-04-21
5 -- Last update: 2017-05-02
6 -------------------------------------------------------------------------------
7 -- Description: AxiLtc2270 Package File
8 -------------------------------------------------------------------------------
9 -- This file is part of 'SLAC Firmware Standard Library'.
10 -- It is subject to the license terms in the LICENSE.txt file found in the
11 -- top-level directory of this distribution and at:
12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
13 -- No part of 'SLAC Firmware Standard Library', including this file,
14 -- may be copied, modified, propagated, or distributed except according to
15 -- the terms contained in the LICENSE.txt file.
16 -------------------------------------------------------------------------------
17 library ieee;
18 use ieee.std_logic_1164.all;
19 
20 use work.StdRtlPkg.all;
21 
22 package AxiLtc2270Pkg is
23 --! @file
24  --! @ingroup devices_Linear_lct2270
25 
26  type AxiLtc2270InType is record
27  clkP : sl;
28  clkN : sl;
29  dataP : Slv8Array(0 to 1);
30  dataN : Slv8Array(0 to 1);
31  orP : sl;
32  orN : sl;
33  end record;
34  type AxiLtc2270InArray is array (natural range <>) of AxiLtc2270InType;
36  '1',
37  '0',
38  (others => (others => '1')),
39  (others => (others => '0')),
40  '1',
41  '0');
42 
43  type AxiLtc2270InOutType is record
44  sdo : sl;
45  end record;
46  type AxiLtc2270InOutArray is array (natural range <>) of AxiLtc2270InOutType;
48 
49  type AxiLtc2270OutType is record
50  cs : sl;
51  sck : sl;
52  sdi : sl;
53  par : sl;
54  clkP : sl;
55  clkN : sl;
56  end record;
57  type AxiLtc2270OutArray is array (natural range <>) of AxiLtc2270OutType;
59  '0',
60  '0',
61  '0',
62  '0',
63  '1',
64  '0');
65 
66  type AxiLtc2270DelayInType is record
67  load : sl;
68  rst : sl;
69  data : Slv5VectorArray(0 to 1, 0 to 7);
70  end record;
72  '0',
73  '0',
74  (others => (others => (others => '0'))));
75 
76  type AxiLtc2270DelayOutType is record
77  rdy : sl;
78  data : Slv5VectorArray(0 to 1, 0 to 7);
79  end record;
81  '0',
82  (others => (others => (others => '0'))));
83 
84  type AxiLtc2270ConfigType is record
85  dmode : slv(1 downto 0);
86  -- IO-Delay Signals (refClk200MHz domain)
88  end record;
90  (others => '0'),
92 
93  type AxiLtc2270StatusType is record
94  adcValid : slv(1 downto 0);
95  adcData : Slv16Array(0 to 1);
96  -- IO-Delay Signals (refClk200MHz domain)
98  end record;
100  (others => '0'),
101  (others => x"0000"),
103 
104 end package;
AxiLtc2270DelayOutType :=( '0',( others =>( others =>( others => '0')))) AXI_LTC2270_DELAY_OUT_INIT_C
AxiLtc2270ConfigType :=(( others => '0'), AXI_LTC2270_DELAY_IN_INIT_C) AXI_LTC2270_CONFIG_INIT_C
AxiLtc2270InType :=( '1', '0',( others =>( others => '1')),( others =>( others => '0')), '1', '0') AXI_LTC2270_IN_INIT_C
AxiLtc2270InOutType :=(sdo => 'Z') AXI_LTC2270_IN_OUT_INIT_C
std_logic sl
Definition: StdRtlPkg.vhd:28
Slv16Array( 0 to 1) adcData
AxiLtc2270DelayInType delayIn
AxiLtc2270OutType :=( '0', '0', '0', '0', '1', '0') AXI_LTC2270_OUT_INIT_C
Slv5VectorArray ( 0 to 1, 0 to 7) data
Slv8Array( 0 to 1) dataP
Slv8Array( 0 to 1) dataN
array(natural range <> ) of AxiLtc2270InOutType AxiLtc2270InOutArray
slv( 1 downto 0) adcValid
AxiLtc2270StatusType :=(( others => '0'),( others => x"0000"), AXI_LTC2270_DELAY_OUT_INIT_C) AXI_LTC2270_STATUS_INIT_C
array(natural range <> ) of AxiLtc2270InType AxiLtc2270InArray
AxiLtc2270DelayOutType delayOut
slv( 1 downto 0) dmode
array(natural range <> ) of slv( 15 downto 0) Slv16Array
Definition: StdRtlPkg.vhd:395
array(natural range <> ,natural range <> ) of slv( 4 downto 0) Slv5VectorArray
Definition: StdRtlPkg.vhd:664
array(natural range <> ) of AxiLtc2270OutType AxiLtc2270OutArray
array(natural range <> ) of slv( 7 downto 0) Slv8Array
Definition: StdRtlPkg.vhd:403
std_logic_vector slv
Definition: StdRtlPkg.vhd:29
AxiLtc2270DelayInType :=( '0', '0',( others =>( others =>( others => '0')))) AXI_LTC2270_DELAY_IN_INIT_C