SURF  1.0
SsiCmdMasterPkg.vhd
Go to the documentation of this file.
1 -------------------------------------------------------------------------------
2 -- File : SsiCmdMasterPkg.vhd
3 -- Company : SLAC National Accelerator Laboratory
4 -- Created : 2014-04-25
5 -- Last update: 2014-04-30
6 -------------------------------------------------------------------------------
7 -- Description: SSI Command Master Pulser Module Package File
8 -------------------------------------------------------------------------------
9 -- This file is part of 'SLAC Firmware Standard Library'.
10 -- It is subject to the license terms in the LICENSE.txt file found in the
11 -- top-level directory of this distribution and at:
12 -- https://confluence.slac.stanford.edu/display/ppareg/LICENSE.html.
13 -- No part of 'SLAC Firmware Standard Library', including this file,
14 -- may be copied, modified, propagated, or distributed except according to
15 -- the terms contained in the LICENSE.txt file.
16 -------------------------------------------------------------------------------
17 
18 library ieee;
19 use ieee.std_logic_1164.all;
20 use ieee.std_logic_unsigned.all;
21 use ieee.std_logic_arith.all;
22 
23 use work.StdRtlPkg.all;
24 
25 package SsiCmdMasterPkg is
26 --! @file
27  --! @ingroup protocols_ssi
28 
29  type SsiCmdMasterType is record
30  valid : sl; -- Command Opcode is valid (formerly cmdEn)
31  opCode : slv(7 downto 0); -- Command OpCode
32  context : slv(23 downto 0); -- Command Context
33  end record;
34 
35  type SsiCmdMasterArray is array (natural range <>) of SsiCmdMasterType;
36 
37  constant SSI_CMD_MASTER_INIT_C : SsiCmdMasterType := (
38  valid => '0',
39  opCode => (others => '0'),
40  context => (others => '0'));
41 
42 end SsiCmdMasterPkg;
_library_ ieeeieee